ASML – 庄闲棋牌官网官方版 -199IT //www.otias-ub.com 发现数据的价值-199IT Tue, 19 Aug 2025 12:38:22 +0000 zh-CN hourly 1 https://wordpress.org/?v=5.4.2 ASML:2025年Q2中国市场营收占比将超25% //www.otias-ub.com/archives/1779983.html Tue, 19 Aug 2025 12:38:22 +0000 //www.otias-ub.com/?p=1779983 近日消息,近日,我国驻爱尔兰特命全权大使赵希源接受采访时表示,荷兰公司对华出口一台光刻机利润相当于20万吨猪肉。

过去数十年中爱经贸合作保持稳定增长势头,但2023年爱对华出口出现了明显下降,原因是半导体出口受到了美出口管制政策的限制。

荷兰公司向中国出口一台光刻机,获得的利润约等于向中国出口20万吨猪肉。但受所谓国家安全因素和外部压力影响,我们似乎对彼此缺乏足够的信任,导致在这些领域合作中遭遇一些障碍。

“如果中欧不断深化互信,双方就能够推动合作取得更多更广泛的成果。”

上个月ASML发布2025年第二季度财报,期内净销售额达77亿欧元,同比增长23.2%,毛利率达到53.7%,实现净利润23亿欧元,同比增长45.2%。

谈及中国市场方面,ASML总裁兼CEO傅恪礼说道:“预计中国市场的营收占比将超过25%,这与我们的未交付订单情况基本一致。”

自 快科技
]]>
ASML:2025年Q2净利润22.9亿欧元 同比大涨44.9% //www.otias-ub.com/archives/1773898.html Thu, 17 Jul 2025 12:36:35 +0000 //www.otias-ub.com/?p=1773898 近日消息,光刻机大厂ASML发布了2025年第二季度财报,营收及净利润均实现了不错的同比增长,新增订单和毛利率也高于预期。ASML在该季度还交付了首台第二代 High NA EUV 光刻机TWINSCAN EXE:5200B。

但由于日益紧张的地缘政治、宏观经济形势、关税、出口管制等因素的影响,ASML对于三季度和2025年的营收目标低于市场预期,并且对于2026年的展望表示仍存在不确定性,无法确认是否能实现增长,使得ASML股价大跌8.33%,一度触及自2025年5月以来的新低。

二季度净利润同比大涨44.9%

具体来说,2025年第二季度,ASML实现净销售额77亿欧元,处于此前预测营收区间的高位,环比增长0.65%、同比增长 23.21%。其中,装机售后服务的净销售额为21亿欧元;毛利率为53.7%,高于预期51%至53%;净利润达 22.90 亿欧元,环比小幅下滑 2.76%,同比大涨44.9%。

从预定金额来看,ASML第二季度的新增订单金额从 39.36 亿欧元增长至 55.41 亿欧元,其中23亿欧元为EUV光刻机订单。

ASML总裁兼首席执行官傅恪礼(Christophe Fouquet)表示:“我们2025年第二季度的净销售额为77亿欧元,处于此前营收预测区间的高位;毛利率为53.7%,高于预期,这主要得益于装机售后业务中升级服务的增长,以及非经常性的一次性费用带来的成本下降。”

二季度出货首台 TWINSCAN EXE:5200B 系统

从出货量来看,ASML二季度出货了 67 台新光刻设备和 9 台二手光刻设备。67台光刻机当中,包括11台EUV光刻机、31台ArFi光刻机、4台ArFdry光刻机、16台KrF光刻机、14台I-line光刻机,以及第一台第二代High NA EUV光刻机 TWINSCAN EXE:5200B。

据ASML介绍,TWINSCAN EXE:5200B 的镜头和照明器,采用了0.55 NA光学元件,具有改进的像差规格,兼容更高的光源功率;拥有1um预脉冲EUV源技术,可以以更高的锡液滴频率运行,并改进剂量裕度控制;晶圆仓设计支持双标线曝光的高生产率;新的工件台可以减少晶圆交换开销。

在技术指标方面,TWINSCAN EXE:5200B的分辨率为8nm,在50mJ/cm?时的吞吐量为每小时175片晶圆。

中国大陆净系统销售额占比27%

从销售额和区域占比来看,ASML二季度净系统销售额为55.96亿欧元,其中中国台湾占比比35%,环比大幅增加19个百分点,或与台积电积极推进2nm量产有关;中国大陆占比27%,环比持平;韩国占比19%,环比大幅减少21个百分点,可能与三星、SK海力士资本支出下滑有关;美国占比10%,环比下滑6个百分点,应该与特朗普政府上台后,威胁废除《芯片与科学法案》,以及相关政策的不确定性,使得部分晶圆制造商在美国本土的投资放缓有关;日本占比5%,环比增加4个百分点。

从终端应用来看,二季度ASML净系统销售额有69%是来自逻辑制程,环比增加11个百分点,存储制程占比则降至了31%。

二季度毛利率超预期原因

对于第二季度的毛利率高于预期原因,ASML首席财务官戴厚杰(Roger Dassen)总结为以下三点:

1、装机售后环节的升级服务带来额外收入;2、本季度获得一些非经常性的一次性费用收益;3、关税带来的负面影响低于此前预期。

需要指出的是,二季度还确认了一台高数值孔径(High NA)EUV系统的收入,虽然该项收入确认拉低了毛利率,但总体上依然带来53.7%毛利率的强劲表现。

傅恪礼进一步指出:“我们看到光刻机投资在晶圆厂总体投资中所占的比重持续保持强劲,尤其是在DRAM(动态随机存取存储器)领域,而TWINSCAN NXE:3800E的推出也进一步巩固了这一趋势。与此同时,EUV光刻机的应用正在按计划推进,其中包括高数值孔径(High NA)系统。本季度,我们发运了首台TWINSCAN EXE:5200B系统。”

三季度营收预计为74亿至79亿元

ASML预计2025年第三季度净销售额在74亿至79亿欧元之间,低于市场预期的82.6亿欧元,毛利率介于50%至52%;预计装机售后服务的净销售额将达到20亿欧元左右。预计研发费用约为12亿欧元,销售及管理费用约为3.1亿欧元。

2025年净销售额将同比增长15%

ASML还预计,2025年全年净销售额将同比增长约15%至325亿欧元,低于市场预期的373.9亿欧元,毛利率约为52%。

2025年的全年就几个业务板块来看,戴厚杰指出:

1、EUV部分:EUV在先进制程的发展中愈发重要,越来越多的客户转向使用EUV光刻机。因此,EUV业务预计将同比增长约30%,而人工智能是主要驱动力。

2、DUV和应用业务:预计与2024年基本持平。

3、装机售后服务业务:预计将增长约20%。一方面在于上半年装机售后服务增长显著,NXE:3800机型的升级服务尤其突出;另一方面,下半年装机售后服务业务有望持续提升,由于部分系统工具即将超过保修期,这些工具服务将增加装机售后的收入。

综合来看,ASML预计2025年全年营收较上一年将增长约15%,毛利率约为52%。正如此前所分享的,预计下半年的营收将高于上半年;基于当前发运计划,下半年第四季度的营收占比可能会比较高。

美国关税影响

对于美国关税政策所带来的影响,戴厚杰也解释道,目前来看直接影响就是上一季电话会议上所划分的几类:

第一类,对运往美国的整套系统征收关税;第二类,对进口到美国并在美国进行制造的产品征收关税;第三类,对运往美国的用于服务作业使用的零部件和工具征收关税;第四类,其他国家对从美国发运的商品征收关税,例如出口至欧盟。

戴厚杰表示,对于这些直接影响,ASML正在努力减轻关税在这四个方面对整个行业生态系统带来的影响。“此前提到我们正在研究自由贸易区,以缓解一些不利的动态因素。我们正在与供应链和客户合作,确保尽可能减少对 ASML 的影响。”戴厚杰说道。

至于间接影响方面,戴厚杰认为,关税会对整体宏观经济形势产生何种影响现在还不确定。由于直接和间接影响尚不明确,ASML将持续密切关注相关动向,并尽最大努力予以应对。

关于长期展望

虽然短期而言,戴厚杰也提到了,关税、出口管制、宏观经济形势等持续为ASML带来一些不确定性。但从更长期的角度来看,傅恪礼认为,半导体市场依旧强劲,尤其是人工智能带来的需求保持强劲。

“展望2026年,我们看到人工智能客户的核心业务基础依然坚实,为其持续增长提供支撑。与此同时,宏观经济和地缘政治局势依旧在动态变化中。因此,尽管我们正在为2026年的增长做准备,但当前阶段仍存在不确定性(无法确认是否能实现增长)。”傅恪礼说道。

正如此前在投资者日上所讨论的,客户转向更先进的逻辑和存储芯片生产将提升对先进光刻技术的需求,这有利于提升光刻机投资在晶圆厂总体投资中所占的比重。我们在低数值孔径和高数值孔径EUV 技术路线图上取得的进展,以及合理的技术成本,将使我们能够继续支持更多的多重曝光向单次曝光转变。

因此,长期而言,ASML仍保持投资者日上所做的预测——预计到 2030 年总营收有望达到 440 亿欧元至 600 亿欧元,毛利率将达到 56% 至 60%。

自 芯智讯
]]>
ASML:2026年High-NA EUV出货量或仅4台,降幅50% //www.otias-ub.com/archives/1770954.html Wed, 02 Jul 2025 12:40:47 +0000 //www.otias-ub.com/?p=1770954 近日消息,据媒体报道,2023年末ASML向英特尔交付了首台High-NA EUV光刻机,业界普遍认为,High-NA EUV光刻技术将在先进芯片开发和下一代处理器的生产中发挥关键作用。

不过这种情况最近似乎发生了变化,各个晶圆代工厂都在减少对High-NA EUV依赖,并且延后引入新技术的时间。

近日投资机构下调ASML目标股价,从每股795欧元降至759欧元,降幅约5%,同时调低了2026-2027年的收益预期。不过,机构仍维持“买入”评级,认为ASML长期增长逻辑未变。

此次股价预期调整的核心原因在于High-NA EUV(高数值孔径极紫外光刻机)需求不及预期。美国银行预测,ASML 2026年High-NA EUV出货量或仅4台,较此前预期减少50%。

行业分析指出,芯片制造技术正转向新型晶体管结构(如GAAFET、CFET),这些设计通过“环绕式栅极”和蚀刻工艺优化性能,降低了对光刻精度的依赖。因此,晶圆厂可能延后High-NA EUV的采用时间,转而优化现有EUV设备的使用效率。

尽管短期面临High-NA EUV需求调整,但投资机构对ASML的长期前景仍持乐观态度。AI芯片(如GPU、AI加速器)的爆发式增长推动先进制程需求,而ASML的EUV技术仍是3nm及以下工艺的关键设备。

自 快科技
]]>
Canalys:2024年Q3全球High-NA EUV光刻机市场竞争分析 英特尔独占首发6台份额 //www.otias-ub.com/archives/1727598.html Tue, 19 Nov 2024 11:52:36 +0000 //www.otias-ub.com/?p=1727598 光刻机一直是半导体领域的热门话题。从早期的深紫外(DUV)光刻机起步,其稳定可靠的性能为半导体产业的发展奠定了坚实基础;到后来的极紫外(EUV)光刻机以其独特的极紫外光源和更短的波长,成功将光刻精度推向了新的高度;再到如今的高数值孔径(High-NA)光刻机正式登上历史舞台,进一步提升了光刻的精度和效率,为制造更小、更精密的芯片提供了可能。

尤其是随着ASML High-NA EUV光刻机的问世,这一目前世界上最先进的芯片制造设备,显著提升了芯片的晶体管密度和性能,这对于实现2nm以下先进制程的大规模量产至关重要。

在此形势下,英特尔、台积电、三星、SK海力士等晶圆制造大厂伺机而动,争相导入或宣布High-NA EUV光刻机市场进展,预示着半导体行业将迎来新一轮的技术革新和竞争高潮。

英特尔:时运不济

在半导体巨头中,英特尔是率先向ASML订购新型High-NA EUV设备EXE:5000的企业。

早在2023年12月,英特尔就拿下了全球首台High NA EUV光刻机,并于今年4月宣布其已在位于美国俄勒冈州希尔斯伯勒的Fab D1X研发晶圆厂完成世界首台商用High-NA(0.55NA) EUV光刻机的组装工作,目前已进入光学系统校准阶段,并计划在其18A(1.8nm)和14A(1.4nm)节点上使用。

今年8月,英特尔又宣布成功接收全球第二台价值3.83亿美元的High-NA EUV光刻机,目前在俄勒冈州的晶圆厂已经顺利完成安装调试。

ASML早些时候曾表示,2nm光刻机近期的产能只有10台,预计到2028年才能每年生产20台。值得注意的是,这10台最新光刻设备,公开资料显示有6台已被英特尔拿下。

可见,在最先进光刻机的导入进展上,英特尔取得了遥遥领先的优势。

常言道“吃一堑,长一智”,而英特尔之所以如此积极的选择High-NA EUV设备,实际上很大原因在于其此前在EUV上跌过的跟头。

Tick-Tock模式溃败、错失EUV技术窗口

众所周知,英特尔与ASML合作了数十年时间,推动了光刻技术从193nm浸没式光刻技术发展到EUV,但出于成本考虑,英特尔时任CEO不愿采用昂贵的ASML EUV光刻机,选择不在其10nm工艺中使用该技术,而是使用DUV光刻机进行四重图案化,结果导致英特尔在良率方面遇到了重重困难。

回顾历史能看到,2011年英特尔首发了22nm FinFET工艺,远超当时台积电、三星的28nm,技术优势可谓遥遥领先。然而从14nm节点开始,英特尔接连遭受重创。

2014年,英特尔和三星都实现了14nm制程芯片的生产,可到2017年,台积电已经推进到10nm、7nm工艺,英特尔却因为不愿意采用最新的EUV光刻技术,导致其原计划在2016年大规模量产10nm芯片直到2019年才实现量产,比台积电推出时间晚了两年半,而其7nm芯片更是直至2022年才推出。

实际上,除了没能把握住EUV技术之外,英特尔代工的衰退与其“Tick-Tock”战略也脱不了关系。

英特尔前任CEO保罗·欧德宁对于芯片制造曾提出“Tick-Tock”战略,即在Tick年(工艺年)更新制作工艺,Tock年(架构年)更新微架构,相当于每两年一次工艺制程进步。但同期,为推行“高效管理”、“成本节约计划”,英特尔裁员2万人,大量参与下一代芯片工艺和架构研发的工程师被裁撤,导致“Tick-Tock”模式难以持续,14nm芯片延迟一年才推出,10nm芯片更是几番推迟。

英特尔像挤牙膏一样对芯片技术进行微小的年度更新,那些年一度被大家讥讽为“牙膏厂”。

随着Tick-Tock模式的崩溃,以及错失EUV早期技术窗口,英特尔开始逐渐掉队。

与此同时,台积电和三星从ASML大量采购EUV设备,不断缩小芯片的制程尺寸,提高芯片的效率和性能,大大提升了在晶圆代工领域的竞争力。在先进制程上,英特尔被台积电、三星远远甩在了身后。

更严重的是,生产工艺落后、产品竞争力下滑,不仅影响了英特尔的代工业务,也使其台式机芯片和服务器芯片的市场份额不断被蚕食。

可谓,牵一发而动全身。

英特尔自救,前路何在?

因此,痛定思痛后的英特尔,率先对High-NA EUV光刻机展开了攻势,试图追回被拉开的差距。

上面提到,2024年4月,重达150吨的巨大设备被安装在英特尔位于美国俄勒冈州的研究设施里。

这也是在英特尔CEO Pat Gelsinger提出“IDM 2.0”战略后,迅速重新聚焦于尖端制程工艺的提升,提出了四年五个工艺节点的计划,希望在2025年凭借Intel 18A实现对于台积电2nm工艺的追赶和超越。

与此同时,英特尔希望通过率先采用High NA EUV光刻机来实现对于台积电等竞争对手的持续领先。英特尔的目标是在2026至2027年间实现Intel 14A制程技术的量产,并在此基础上进一步提升制程技术。最终在2030年前实现英特尔代工业务实现收支平衡的运营利润率,并成为全球第二大晶圆代工厂。

目标指引下,英特尔正在不断加强代工基础设施建设,计划未来5年投资1000亿美元扩大先进芯片制造能力。同时将投资约300亿欧元在德国马格德堡建设两家半导体工厂。这些投资计划将使英特尔芯片代工能力大幅提升。

然而,战略愿景很美好,但现实却很残酷。

尽管英特尔雄心勃勃,但由于四年五个节点及路线演进、生态构建和产能扩建等巨额的投入,英特尔披露其代工业务去年营收同比下降31.2%至189亿美元,经营亏损70亿美元,同比扩大34.6%。

2024年有可能将是英特尔芯片制造业务经营亏损最严重的一年,今年Q1财报显示,该业务运营亏损25亿美元,几乎是上一季度的两倍;Q2亏损更是达到28.3亿美元,代工亏损额不断扩大。

据研究机构TrendForce集邦咨询数据,2024年二季度,英特尔并未进入全球晶圆代工厂营收前10名。在过去几年里,英特尔曾经在2023年第三季度短暂上榜,市场份额仅为1%。

这意味着,IFS三年来无法真正托举起英特尔以尖端芯片制造重塑行业地位的目标,同时作为美国本土唯一有能力能承担起前沿代工行业承担着的角色,英特尔也无法扛起时代重任。

据心智观察所报道,美国半导体咨询公司D2D Advisory总裁Jay Goldberg也特别指出:“英特尔代工面临的真正挑战,还在于他们的经济模式中必须要拥有更多的客户,以支持不断推进其制造流程所需的研发。必须加大外部客户需求,将收入规模增加一倍,以支持保持在摩尔定律的轨道上继续前进。”

不难看到,英特尔目前已陷入到两难境地,业绩持续下滑,2024年甚至由盈转亏,股价暴跌将近60%,市值几度跌破千亿美元,成为标普500指数中表现最差的科技股之一。

面对危机,英特尔在内部信上表示,将进一步分离芯片制造和设计业务,这是该公司解决50年历史上最严重危机之一的一系列新措施的一部分。

根据英特尔此前公布的预测数据显示,分拆晶圆制造业务后,2023年可以节省30亿美元成本,2025年将节省 80-100亿美元成本。同时也推迟德国和波兰建厂计划2年;马来西亚建厂则会完成,但正式启用时间则视市况与产能利用率而定。

在英特尔继续紧急行动执行上个月宣布的计划的同时,英特尔也在努力谨慎管理现有的现金,以有意义地改善资产负债表和流动性。其中就包括出售部分 Altera的股份,并推动其独立IPO;产品研发策略方面,也规划将简化x86产品组合。这也是英特尔多次公开讨论的计划。

今年8月,英特尔甚至还被曝出正在考虑分拆其产品和代工业务。值得一提的是,在传出或分拆其晶圆业务之后,英特尔股价曾反弹9%以上,可见投资者对芯片代工业务有多失望。

处在低谷中的英特尔就是美国芯片制造业的一个缩影,成本、技术、资源、IDM身份等,都在制约英特尔野心勃勃的芯片代工计划。但尽管业界也有放弃芯片代工的可能性探讨,尽管芯片代工业务持续的亏损已经让资本市场不满意,但这也是为数不多能拯救英特尔于水火的关键布局。

英特尔没有选择,只有抓住任何的可能性,硬着头皮上。

因此,英特尔需要最尖端的High-NA EUV光刻机作为生产和营销工具,以宣示自身在3nm以下的研发制造实力且尝试壮大客户群,但High-NA EUV光刻机作为新机,又让英特尔不得不冒着设备折旧和量产摊销成本的压力以平息外界质疑。

从行业竞争的持续以及芯片代工“重资产、长周期”的产业属性来看,英特尔还有诸多硬仗要打。尤其是在英特尔开启公司史上最大转型以自救的处境下。

英特尔过去也有过从困境中复苏的经历。1980年代在日本企业的攻势下,英特尔撤出了DRAM,把经营资源集中于CPU,席卷了个人电脑市场。

正如基辛格所述:“这是英特尔四十多年来最重要的转型。自从内存过渡到微处理器以来,我们还没有尝试过如此重要的事情。我们当时成功了,我们将迎接这一时刻,并在未来几十年内打造更强大的英特尔。”

但英特尔的种种自救,仍需要时间检验。

三星电子,陷代工阴霾

今年8月,在“2024年光刻+图案学术会议”上,三星电子表示为了在与英特尔、台积电等全球半导体竞争对手的“芯片战”中保持竞争力,公司正在积极参与技术开发,最早将在2024年底到2025第一季度之间引进公司首台High-NA EUV设备“EXE:5000”,并有望在2027年实现该技术的全面商业化。

据悉,该设备可能被放置在位于华城园区的半导体研究所(NRD),预计将用于代工业务,以进一步提升在先进节点领域的竞争优势。

实际上,三星芯片代工在早年占据了绝对优势。2007年乔布斯发布第一代iPhone时,使用的正是从三星采购的ARM架构芯片。后续搭载于iPhone 4、iPhone 4s、iPhone 5、iPhone 5s/5c身上的A4、A5、A6、A7芯片也均由三星代工,那时候还没有台积电什么事。

直到2011年,因为三星自己也从事手机芯片和手机终端研发和销售业务,如此一来就与苹果在智能手机市场上有了竞争关系。双方互相拉扯,直到2018年6月才达成和解。

在这个过程中,苹果也开启了“去三星化”进程。2014年推出的A8芯片,全部转由台积电代工。台积电能顺利从三星手中抢到苹果的订单,一方面是苹果急着寻找可替代的代工商,给台积电制造了很大的机会。另一方面是台积电在20nm工艺上取得重大突破,良品率大幅提升,而三星的20nm工艺突然掉链子,关键问题迟迟无法解决,良品率满足不了苹果的要求。正是这样的天时地利,让台积电成功抱到了苹果这条大腿。

反观三星,大客户被抢之后,决定不搞20nm,选择直接从28nm跳到14nm,对台积电的16nm形成反超。所以,在2015年的A9芯片上,苹果又重新分给三星一部分订单,于是出现台积电代工和三星代工两种版本。理论上,三星14nm表现应该是优于台积电16nm,但消费者的口碑却完全相反,很多人都担忧买到三星代工的版本。

这次的失利,让三星彻底失去苹果的代工订单,苹果公司之后的芯片均由台积电代工,制程也从2015年的16nm,稳步提升到4nm。

与此同时,高通也险些在三星代工中跌了跟头,骁龙8+Gen1紧急转为台积电4nm代工,才强行挽回了高通的口碑和市场地位。

在芯片代工赛道上,三星具有起步优势,但奈何中期连续多次失利,才让台积电一步步实现反超,直至今日的大幅领先。然而,三星也清楚自己与台积电之间存在这技术差距,所以想要对台积电形成反超,就必须拿出更强的“杀手锏”。

于是,三星几乎把追赶台积电的全部希望都押注在3nm工艺上。2023年,三星率先推出3nm制程工艺,采用更加先进的GAA(环绕栅极晶体管)技术,领先于台积电的FinFET技术。

可以说,3nm相当于三星最后的“背水一战”,如果能一举追赶台积电,或许未来有机会形成双雄争霸的局面。

然而从市场进展来看,三星3nm工艺在良率方面面临挑战,这导致了一个很尴尬的局面,即三星的3nm芯片,虽然比台积电先推出,但是成本却比台积电高出很多,性能表现也存在差距。据悉,三星第二代3nm制程工艺良品率不稳定,自家Exynos2500良品率都不足20%,三星Galaxy25系列手机全系搭载骁龙8Gen4处理器,放弃自研Exynos2500版本,原因是体验差异太大。

与台积电差距拉开之后,给三星下订单的客户越来越少。

过去高通先进制程的芯片一直都是三星独家代工,结果在5nm芯片之后,高通也将先进制程的芯片订单交给了台积电;现如今,苹果的A17、A18系列芯片,全部采用台积电的3nm工艺制造;高通的3nm芯片和联发科的天玑9400等也全由台积电代工;连英伟达、AMD、特斯拉的3nm芯片,都是台积电代工,包括现在英特尔的订单也给了台积电。

在芯片制造上,台积电一家拿下了全球60%以上的市场份额,3nm的芯片制造几乎拿下100%的份额,而7nm以下的芯片制造,拿下了90%的份额。第二大晶圆厂三星的市场份额仅为11.5%。

据《朝鲜日报》消息,三星已经将平泽2厂,3厂的4nm,5nm和7nm生产线关闭了30%产能。预计到2024年底,还将继续关闭产能直到50%。这一举措显然是为了应对全球科技巨头如英伟达、AMD及高通等未能给予三星电子大规模订单的现状。

有行业专家强调,一旦设备关闭,恢复正常运营是一个漫长的过程。通常情况下,即使在需求低迷时期,公司也会降低利用率,而不是全面停工。然而,三星近30%的先进工艺设备闲置是前所未有的。

2024年第三季度,三星包括晶圆代工和系统LSI等非內存部门亏损金额超过1万亿韩元。此外,三星3nm制程的良率持续处于低位,也一直没有获得大客户的采用,近期还将美国得州泰勒市先进代工晶圆厂量产时间延后到2026年。

综合来看,这样的差距,让三星在3nm时代,想要超越台积电的梦想彻底破灭了。

因此,三星引进High-NA EUV光刻机的消息,意味着其将与英特尔和台积电在下一代光刻技术上展开更为激烈的竞争。

三星计划在2025年量产2nm制程,并逐步扩展到其他应用领域。比如,2025年首先用于行动领域,2026年扩展到HPC应用,2027年再扩展至汽车领域。三星的2nm制程节点采用了优化的背面供电网络技术,以降低供电电路对信号电路的干扰。

这一发展标志着三星首次涉足High NA EUV技术。此前,三星电子曾与IMEC合作进行电路处理研究。三星计划利用自己的设备加速先进节点的开发,并设定了到2027年实现1.4nm工艺商业化的目标,这可能为1nm生产铺平道路。

此外,为了实现全面商业化,三星还正在积极构建相关生态系统。

据悉,三星电子已购买了雷射技术公司的High-NA EUV掩膜检查设备”Actis(ACTIS) A300″。预计在三星电子内部完成ASML的EXE:5000安装后,将从明年上半年开始正式引进。同时与电子设计自动化(EDA)公司合作设计新型光罩,包括用于High-NA EUV的非直线(Curvilinear)掩膜电路绘制方法,以提高晶圆上印刷电路的清晰度。此次合作涉及半导体EDA工具全球领导者Synopsys等公司。

除了ASML、雷射技术公司、Synopsys之外,三星电子预计还会与JSR等光刻胶公司、将光刻胶涂在晶圆上的跟踪设备”Number One”东京电子等多家公司合作,为High-NA时代到来做准备。据悉,三星电子正通过这样的生态系统建设工作,准备在2027年正式商用High-NA。

三星电子的晶圆代工业务正站在一个关键的十字路口,其生死存亡,似乎全系于2nm芯片制程技术的量产之上。这不仅是技术上的飞跃,更是三星晶圆代工业务能否重获新生的关键。

然而通往成功的道路从来都不是一帆风顺的。三星在推进其技术蓝图的过程中,不得不面对一系列严峻的挑战:

首先是技术层面的难题,先进制程的良率问题一直是悬在半导体厂商头上的达摩克利斯之剑。三星的3纳米制程就因良率低迷、可靠性存疑而未能达到量产标准,这无疑给其晶圆代工业务蒙上了一层阴影。

更糟糕的是,市场的反应也并未如三星所愿。尽管三星努力提升技术实力,但在客户心中,其晶圆代工业务的可靠性和竞争力仍显不足。面对台积电等强劲对手,三星在争取高端客户方面显得力不从心。这种市场困境,进一步加剧了三星的财务压力。据估算,三星晶圆代工业务在第三季度恐将亏损数千亿韩元,这是对三星管理层的一次重大考验。

面对内忧外患,三星高层不得不做出一系列艰难的决定。

根据研究公司Statista的数据,尽管三星多年来一直努力挑战台积电,但三星在代工制造市场的份额在过去五年里下降了8个百分点,2024年第二季度,三星占据全球代工市场份额的11.5%,而台积电占据62.3%的市场份额。

三星市场份额的下降凸显了其在掌握先进芯片制造技术方面面临的技术挑战,在代工业务上投资过多,既没有获得足够的客户,也没有稳定生产工艺,这进一步导致了三星目前的危机。

综合来看,半导体行业本身是技术快速迭代与市场变幻莫测的领域,三星必须保持足够的敏锐度,以应对未来的变化。如何在快速变化的市场中找到适合自身发展的道路,是三星当前亟待解决的问题。

台积电“有条不紊”,赢下“谈判游戏”

作为半导体行业的领导者,台积电在过去的30多年中立下了赫赫战功。过去多年来,在面对三星和英特尔带来的巨大挑战和压力时,台积电审时度势并采取有效的措施,成为了世界第一大芯片代工企业。

如今,即使行业头部半导体企业纷纷争夺High-NA EUV设备,台积电似乎并不急于加入这一行列。

此前,谈到何时导入High-NA EUV设备,台积电资深副总暨副共同营运长张晓强接受外采访时透露,台积电胸有成竹,不会因为对手们抢先添购设备而盲目扩大采购,仍采稳扎稳打方式布局先进制程,迎接挑战。

然而,近期有消息报道,台积电预计将于今年年底从ASML接收首批全球最先进的芯片制造设备——高数值孔径极紫外(High NA EUV)光刻机。这一消息标志着台积电在半导体制造领域再次迈出了重要一步。

有趣的是,台积电前期以成本为由,迟迟不肯接受High NA EUV。早些时候,台积电CEO魏哲家缺席“台积电技术研讨会2024”,而是前往荷兰埃因霍温的ASML总部洽谈设备。

如今看来,这有点像台积电的谈判游戏,也许是在与ASML争取更好的条件。

传闻魏哲家亲自与ASML谈判并达成了一项协议,通过购买新设备和出售旧型号相结合的方式,将整体价格降低了近20%。ASML同意以折扣价向台积电出售High-NA EUV设备的原因主要是因为台积电是其超级VIP客户,ASML给予了很大的让步。这一让步包括全力协助台积电进机、调校与技术支援等,以加速上线时间点。

因此,台积电的态度也发生了戏剧性的转变,由原先对新款High NA EUV光刻机价格的犹豫,转为积极寻求合作。

据悉,台积电则预计将在本季度在其位于台湾新竹总部附近的研发中心安装新的High NA EUV光刻机。短期内,台积电计划将High NA EUV光刻机主要用于研发,以开发客户推动创新所需的相关基础设施和模式解决方案。

根据ASML的路线图,第一代的High-NA EUV光刻机TWINSCAN EXE:5000或许主要是被晶圆制造商用于相关实验与测试,以便公司更好地了解High-NA EUV设备的使用,获得宝贵经验。实际量产将会依赖于2024年底出货的TWINSCAN EXE:5200。

台积电即将推出的N2(2纳米级)和A16(1.6纳米级)工艺技术将完全依赖于传统的EUV设备,这些设备的光学元件具有0.33 NA。业界预计,台积电最早可能在2028年或更晚的A14(1.4纳米级)工艺技术中采用0.55 NA EUV工具,尽管目前公司尚未对此进行官方确认。

与竞争对手相比,台积电可以通过持续的生产实践积累宝贵的经验数据与优化工艺,从而难以构建起“订单驱动-技术迭代-再获订单”的良性循环体系。换言之,台积电有着极为庞大的优质客户群协助他们调试各种设备bug,这恰恰是三星和英特尔所缺少的。

台积电布局策略:无宝不落

笔者此前曾提到,从三巨头布局策略和方式来看,台积电往往被认为是一个保守技术开发者,其倾向于确保新技术的成熟和可靠性,然后再进行部署,而不是急于将新技术推向市场。

从实际的市场表现来看,台积电此举可以降低技术失败的风险,提高其芯片的产量和质量,从而确保客户的满意度。

例如,三星在2018年开始在其7nm工艺中使用EUV光刻机,然而台积电选择等待。直到EUV工具的稳定性和成熟性得到确认,以及相关问题得到解决或至少得到确定,才在2019年的N7+工艺中开始使用EUV。

此后,在FinFET向GAA工艺的过渡上,台积电依旧重操这一模式。凭借工艺领先性和生产良率上的技术优势和积累完全有实力与采用GAA技术架构的三星抗衡。

在英特尔大力押注的BSPDN背面供电技术上,台积电依旧不紧不慢,计划将在2026年底才开始大规模生产的N2P上加入。

这种谨慎的方法有助于台积电确保其制程技术的稳定性和可预测性,从而提供高质量的芯片给其客户。

但从先进封装领域来看,台积电则一改常态,积极布局率先落地,在先进制程与先进封装的组合拳下,为其带来了新的增长浪潮。

在这张弛有度的策略下,充分凸显着台积电的战略哲学和独到眼光。在其看准的蓝海赛道,台积电始终敢为人先,无论是十年前率先试产16nm FinFET制程技术超越英特尔,还是五年前部署先进封装收获如今的AI红利,台积电都精彩演绎了所谓凤凰无宝不落。

而在其保持较大优势的先进制程领域,纵然面对三星和英特尔的步步紧逼,台积电没有选择盲目激进,反而采取了先观察再跟随的策略,在做好充分的准备和规划后“亦步亦趋”,凭借自身强大的产能、良率和客户基础的基本盘优势,维持不败之地。

SK海力士发力 High NA EUV,

押宝HBM

此外,在存储领域,SK海力士的首台High NA EUV光刻机“EXE:5200”则有望2026年引入,旨在支持其先进DRAM产品的量产。这一举措进一步彰显了半导体行业对于先进制程技术的持续追求和投入。

2023年,SK海力士就曾单独组建了一个团队,专门开发High-NA EUV技术。

SK海力士作为HBM领域巨头,正不断加大对High-NA EUV技术开发的内部投入,积极扩大相关研发团队。尽管关于设备安装的具体晶圆厂位置及额外投资方向等信息尚未公开,但业界普遍预期,该技术将迅速应用于0a(个位数纳米)DRAM的规模化生产,以进一步提升产品竞争力。

写在最后

7nm以下的世界是另类冒险家的乐园,台积电,三星和英特尔的竞合关系变得愈发微妙。

根据光刻机之“瑞利公式”,光刻工艺的提升在过去几十年来一直在多维度全面出击,即不断优化曝光波长、数值孔径以及工艺因子。但目前曝光波长的缩短、数值孔径(NA)的增加都已经逼近了物理和成本综合考量的极限。

如今距离摩尔定律的极限越来越近,行业几乎走到了隧道尽头,2nm及接下来几代工艺节点将会是芯片巨头抢滩的关键。

在全球范围内,台积电、英特尔和三星等半导体巨头之间的竞争正在升温,它们竞相获得2nm以下工艺的High NA EUV设备。英特尔于2023年12月率先获得该设备,台积电于2024年第三季度紧随其后。尽管三星的订单来得晚,但实现稳定的生产可能是决定行业领先地位的关键因素。

但芯片代工的竞争,不仅仅是技术的竞争,更是客户、品牌、良率、产能等各方面的综合竞争。不知道,英特尔和三星能否在新市场的黎明期抓住重新崛起的机会。如果失败,台积电将继续独占鳌头。

自 半导体行业观察

]]>
2024年Q3 ASML订单仅为26亿欧元 远低于预期的54亿欧元 //www.otias-ub.com/archives/1721738.html Wed, 16 Oct 2024 11:39:05 +0000 //www.otias-ub.com/?p=1721738

光科技巨头阿斯麦(Nasdaq:ASML)意外发布了订单额远低于预期的三季度财报,引发美股芯片板块大震荡。阿斯麦原定于10月16日发布的财报被意外提前发布上网,虽然被公司快速撤下,但已经被各大第三方网站抓取。更令市场震惊的是,阿斯麦第三季度订单额仅为26亿欧元,几乎只达到市场预期的54亿欧元的一半。

此外,阿斯麦还公布了令人失望的前景预测。公司总裁兼首席执行官傅恪礼(Christophe Fouquet)表示,预计到2025年,公司总净销售额将增长到300亿到350亿欧元之间,毛利率介于51%至53%之间,而此前指引区间为300亿至400亿欧元。

在这两项数据的打击下,尽管阿斯麦在第三季度的净销售额达到超出市场预期的74.67亿欧元,且净利润达到20.77亿欧元,15日当天,公司股价在阿姆斯特丹交易所暴跌16%,创下1998年6月12日以来的最大跌幅,并且因跌幅过大一度暂停交易。

最终,阿斯麦股价当天收于每股730.43美元,跌16.26%,总市值2919亿美元。受阿斯麦影响,美股芯片股普遍走低,英伟达跌4.52%收于每股131.84美元,AMD跌5.22%收于每股156.64美元,博通跌3.47%收于每股175.98美元,台积电跌2.62%收于每股187.17美元。

傅恪礼在财报中表示:“虽然AI(人工智能)仍有强劲的发展和上升潜力,但其他细分市场需要更长的时间才能复苏。现在看来,经济复苏比之前预期的更为缓慢。预计这种情况将持续到2025年,这导致客户持谨慎态度。”

值得注意的是,公司首席财务官Roger Dassen指出,预计到2025年,中国的业务将占阿斯麦总收入的20%左右。而在今年7月发布的二季度财报中,阿斯麦方面表示,其49%的销售额来自中国。

外媒指出,阿斯麦凸显了人工智能计算领域以外半导体需求的疲弱。华尔街分析师纷纷指出,这是一份“显然令人失望”的财报。

投资机构伯恩斯坦(Bernstein)的分析师表示,阿斯麦低于预期的订单额和令人失望的2025年前景“会掩盖掉公司第三季度不错的业绩”,公司下调的2025年指引体现出,“延迟的周期性复苏和特定的客户挑战正在严重影响”对未来的预期。

Cantor的分析师表示,ASML的悲观前景“显然令人失望”,并将给半导体股带来压力。即使如此,这并不意味着AI发展会在未来出现负面的变化。

Degroof Petercam银行的分析师也给出了类似的观点,称虽然阿斯麦的表现会暂时拖累芯片行业,但并不意味着设备需求会在未来出现下滑。

花旗银行的分析师在一份报告中表示,他们“正在寻找有关(阿斯麦)近期需求变化的更多细节”。

自 澎湃新闻

]]>
ASML财报:2024年Q2 ASML净销售额达62.4亿欧元 高于市场预期 //www.otias-ub.com/archives/1708543.html Wed, 17 Jul 2024 12:51:59 +0000 //www.otias-ub.com/?p=1708543

荷兰光刻机巨头阿斯麦(ASML)近日公布的财报显示,第二季度对中国市场的销售额较前一季度有所上升,由于人工智能热潮推动了对先进芯片制造机器的需求,该公司的订单总量也超过了预期。荷兰光刻机巨头阿斯麦(ASML)周三公布的财报显示,第二季度对中国市场的销售额较前一季度有所上升,由于人工智能热潮推动了对先进芯片制造机器的需求,该公司的订单总量也超过了预期。

阿斯麦在一份声明中表示,第二季度净销售额为62.4亿欧元,高于市场预期的60亿欧元。预订量环比增长54%,达到55.7亿欧元(约合61亿美元),高于市场预期的44.1亿欧元。

中国市场占阿斯麦第二季度销售额的近一半,较前一季度增长了3.9亿欧元。

阿斯麦首席执行官Christophe Fouquet在声明中表示:“我们目前看到人工智能的强劲发展,推动了大部分行业的复苏和增长,领先于其他细分市场。”

阿斯麦确认了此前的销售指引,即今年销售额将持平,到2025年恢复强劲增长。

自 环球市场播报

]]>
ASML财报: 2024年Q1 ASML净利润12亿欧元 环比下滑40.2% //www.otias-ub.com/archives/1686249.html Thu, 18 Apr 2024 12:46:43 +0000 //www.otias-ub.com/?p=1686249

ASML(阿斯麦)近日公布了2024年第一季度财报,当季实现净销售额52.90亿欧元(约合人民币406.5亿元),环比下滑26.9%;毛利率51.0%,环比减少0.4个百分点;净利润12.24亿欧元(约合人民币94.1亿元),环比下滑40.2%。

净销售额处于预测营收区间的中间值,毛利率高于预期,主要原因是浸润式光刻机、EUV业务收入更高,

当季售出光刻机共70台,其中全新66台、二手4台,同时新增订单金额为36亿欧元,其中6.56亿欧元订单来自EUV业务。

过去半年累计新增订单金额近130亿欧元。

ASML预计第二季度净销售额57-62亿欧元,毛利率50-51%,2024年全年收入将与2023年基本持平,今年被视为调整年。

ASML预计2025年将是强劲增长的一年,净销售额可达300-400亿欧元,大部分销售的低数值孔径EUV光刻机型号将是NXE:3800,高数值孔径型号EXE:5200也会推出,从而大幅拉高平均售价和毛利率,可达54-56%。

产能方面,ASML未来将扩大到年产90台低数值孔径EUV、600台DUV,中期达到年产20台高数值孔径EUV。

自 快科技

]]>
ASML财报:2023年ASML净销售额达到276亿欧元 毛利率为51.3% //www.otias-ub.com/archives/1673469.html Wed, 24 Jan 2024 11:52:34 +0000 //www.otias-ub.com/?p=1673469 近日消息,荷兰光刻机巨头ASML(阿斯麦)发布了2023年第四季度及全年财报,业绩好得令人羡慕。

2023年第四季度,ASML净销售额72亿欧元(¥561.0亿),高于预期,毛利率51.4%,净利润达20亿欧元(¥155.8亿)。

经历了几个“平淡”的季度后,四季度新增订单金额多达92亿欧元(¥716.8亿),其中56亿欧元(¥436.3亿)来自EUV光刻机。

2023全年,ASML净销售额达到276亿欧元(¥2150.6亿),毛利率为51.3%,净利润为78亿欧元(¥607.7亿)。

其中,尚未交付的订单总额为390亿欧元(¥3038.6亿)。

ASML 2023年第四季度售出全新光刻机105台、二手光刻机7台,全年售出全新光刻机421台,年增104台,售出二手光刻机28台,与上年持平。

不过,ASML并未披露EUV光刻机的具体销售数字。

ASML预计,2024年净销售额将与2023年基本持平,毛利率略微降低。

毛利率降低的原因一是EUV光刻机业务继续增长,但浸润式光刻机业务会有下降,二是将投资扩大产能。

其中,2024年第一季度净销售额预计约为50-55亿欧元(¥389.6-428.5亿),毛利率约为48-49%。

2025年毛利率预计将提高到54-56%,主要得益于EUV光刻机业务的更快增长,以及2024年产能投资的回报。

自 快科技

]]>
CINNO Research:2023年Q3全球半导体设备厂商市场规模Top10营收合计超250亿美元 同比下降9% //www.otias-ub.com/archives/1666065.html Wed, 13 Dec 2023 12:39:07 +0000 //www.otias-ub.com/?p=1666065 CINNO Research统计数据显示,2023年第三季度全球半导体设备厂商市场规模Top10营收合计超250亿美元,同比下降9%,环比增长3%。其中,荷兰公司阿斯麦(ASML)该季度营收约71亿美元,连续三季度超过美国公司应用材料(AMAT),排名第一。

美国公司应用材料(AMAT)营收约63亿美元,排名第二;美国公司泛林(LAM)排名重回第三。

日本公司Tokyo Electron(TEL)跌出前三,排名第四;美国公司科磊(KLA)稳居第五。

六到十名分别为日本公司迪恩士(Screen)、荷兰公司ASM国际(ASMI)、日本公司爱德万测试(Advantest)、日本迪斯科(Disco)、美国公司泰瑞达(Teradyne)。

从地区来看,TOP10公司被荷兰、美国、日本瓜分。

以下为具体排名:

Q3’23全球半导体设备厂商市场规模排名Top10

延伸阅读:

Top 1 阿斯麦(ASML)-荷兰

全球第一大光刻机设备商,同时也是全球唯一可提供7nm及以下先进制程的EUV光刻机设备商。Q3’23半导体业务营收同比增长24.4%,2022年ASML主要由于Fast shipment,需要客户完成工厂验证才能确认营收,延迟至2023年营收开始增长。

Top 2 应用材料(AMAT)-美国

全球最大的半导体设备商,行业内的“半导体设备超市”,半导体业务几乎可贯穿整个半导体工艺制程,半导体产品包含薄膜沉积(CVD、PVD 等)、离子注入、刻蚀、快速热处理、化学机械平整(CMP)、测量检测等设备。Q3’23半导体业务营收同比下降1.9%。

Top 3泛林(LAM)-美国

泛林又称拉姆研究,主营半导体制造用刻蚀设备、薄膜沉积设备以及清洗等设备。Q3’23半导体业务营收同比下降31.4%。

Top 4 Tokyo Electron(TEL)-日本

日本最大的半导体设备商,主营业务包含半导体和平板显示制造设备,半导体产品包含涂胶显像设备、热处理设备、干法刻蚀设备、化学气相沉积设备、湿法清洗设备及测试设备。Q3’23半导体业务营收同比下降37.4%。

Top 5 科磊(KLA)-美国

半导体工艺制程检测量测设备的绝对龙头企业,半导体产品包含缺陷检测、膜厚量测、CD量测、套准精度量测等量检测设备。Q3’23半导体业务营收同比下降10.5%。

Top 6 迪恩士(Screen)-日本

主营业务包含半导体、平板显示和印刷电路板制造设备,半导体产品包含刻蚀、涂胶显影和清洗等设备。Q3’23半导体业务营收同比增长13.8%。

Top 7 ASM国际(ASMI)-荷兰

主营业务包括半导体前道用沉积设备,产品包含薄膜沉积及扩散氧化设备。Q3’23半导体业务营收同比增长9.9%。

Top 8 爱德万测试(Advantest)-日本

主营半导体测试和机电一体化系统测试系统及相关设备,半导体产品包含后道测试机和分选机。Q3’23半导体业务营收同比下降17.1%。

Top 9 迪斯科(Disco)-日本

全球领先的晶圆切割设备商,主营半导体制程用各类精密切割,研磨和抛光设备。Q3’23半导体业务营收同比下降8.3%。

Top 10 泰瑞达(Teradyne)-美国

主营业务可分为半导体测试、系统测试、无线测试和工业自动化,其中半导体测试包括晶圆层面的测试和器件封装测试。Q3’23半导体业务营收同比下降13.5%。

自 快科技

]]>
ASML财报:预计2023年Q3 ASML净销售额为65亿欧元至70亿欧元 高于市场预期 //www.otias-ub.com/archives/1626773.html Wed, 19 Jul 2023 12:21:55 +0000 //www.otias-ub.com/?p=1626773

ASML今天给出公告称,提高财年指引,预计净销售增长将达到30%,主要是光刻机订单爆满。ASML的第二季度订单额为45亿欧元,市场预计为39.8亿欧元。预计第三季度净销售额为65亿欧元至70亿欧元,市场预测为65.1亿欧元。

之前,ASML在其官网发表声明称,该公司未来出口其先进的浸润式DUV光刻系统(即TWINSCAN NXT:2000i及后续浸润式系统)时,将需要向荷兰政府申请出口许可证。

ASML强调,该公司的EUV系统的销售此前已经受到限制。

据ASML官网提供的信息,该公司目前在售的主流浸没式DUV光刻机产品共有三款,分别是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。

ASML官网上关于这一台TWINSCAN NXT:1980Di的介绍,其中在分辨率方面,写到是大于等于38nm(可以支持到7nm左右),而这是指一次曝光的分辨率,事实上光刻机是可以进行多次曝光的。

自 快科技

]]>
ASML:2023年Q1中国企业贡献了ASML 22%的营收 成熟工艺光刻机的订单占了30%左右 //www.otias-ub.com/archives/1594609.html Thu, 27 Apr 2023 13:30:15 +0000 //www.otias-ub.com/?p=1594609 近日消息息,荷兰ASML公司是全球唯一能量产EUV光刻机的公司,同时也是成熟工艺所需的DUV光刻机的主要供应商,该公司近年来面临一些出口限制,但CEO喊话称不会放弃中国市场,还要继续卖。

ASML CEO Peter Wennink日前在采访中谈到了美国芯片补贴法案及半导体行业发展的情况,他指出美国及欧洲的大量补贴可能会加剧行业的波动性,无法立刻消化新增的产能,从而导致行业不断重复短缺或者过剩的危机。

至于中国市场,Wennink认为在欧美限制的情况下,中国公司会开发自己的替代技术,这很正常,但中国是全球最大的科技市场,ASML公司不能放弃,还是要获得中国市场准入权。

他指出,中国大陆地区是仅次于中国台湾、韩国的第三大市场,而且本土芯片工厂还在大量建设中,与ASML合作对双方来说都是非常重要的。

至于国内的市场,ASML最新财报显示中国企业贡献了ASML一季度22%的营收,成熟工艺光刻机的订单占了30%左右,比去年底提升10%,而且今年对电动车及成熟工艺的需求还会提升,需求更加强劲。

自 快科技

]]>
 ASML财报:2023年Q1 ASML净销售额67.5亿欧元 净利润20亿欧元 //www.otias-ub.com/archives/1590215.html Wed, 19 Apr 2023 12:45:32 +0000 //www.otias-ub.com/?p=1590215 近日消息,光刻机巨头 ASML 公布了 2023 年第一季度的财务数据,第一季度净销售额 67 亿欧元(当前约 505.18 亿元人民币),毛利率 50.6%,净利润 20 亿欧元(当前约 150.8 亿元人民币)。

第一季度净预订销售额为 38 亿欧元(当前约 286.52 亿元人民币),其中 16 亿欧元(当前约 45.24 亿元人民币)为 EUV 极紫外光刻机

ASML 预计 2023 年第二季度净销售额在 65 亿欧元至 70 亿欧元之间(当前约 490.1 亿元至 527.8 亿元人民币之间),毛利率在 50% 至 51% 之间。ASML 还预计 2023 年净销售额将比 2022 年增长 25% 以上。附业绩表:

ASML 总裁兼首席执行官 Peter Wennink 表示,第一季度的净销售额和毛利率均高于指导水平,这是因为该季度系统安装速度加快和验收时间提前,导致 EUV 和 DUV 收入高于预期

“今年的总体需求仍然超过了我们的能力,我们目前积压了 389 亿欧元(当前约 2933.06 亿元人民币)的订单。我们的重点仍然是最大化系统输出。预计第二季度净销售额将在 65 亿欧元至 70 亿欧元之间,毛利率将在 50% 至 51% 之间。ASML 预计研发成本约为 9.9 亿欧元(当前约 74.65 亿元人民币),SG&a 成本约为 2.75 亿欧元。2023 年,ASML 预计将继续强劲增长,净销售额将比 2022 年增长 25% 以上,毛利率略有提高。”

自 IT之家

]]>
semiwiki:截至2022年Q1 ASML已出货136个EUV系统 //www.otias-ub.com/archives/1455746.html Tue, 28 Jun 2022 11:23:12 +0000 //www.otias-ub.com/?p=1455746

据semiwiki日前的报道,截至 2022 年第一季度,ASML 已出货 136 个 EUV 系统,约曝光7000 万个晶圆已曝光(如下图)。台积电在早前的技术大会上则表示,在全球已经安装的EUV光刻机系统中,台积电拥有了其中的 55%。三星的实际控制人李在镕日前则拜访了荷兰总统,以寻找更多的EUV供应。

这再次说明,生产先进芯片必不可少的EUV成为了全球关注的目标。在日前的一些报道中,我们也看到了EUV光刻机的一些路线图更新。

0.33NA EUV的新进展

报道中表示, 0.33 NA的 EUV 系统是当今前沿光刻的主力生产系统。先进的逻辑和 DRAM都在使用0.33 NA 的系统大批量生产。下图说明了逻辑和 DRAM(条)的EUV层数和每年使用EUV曝光的晶圆(面积)。

据ASML公司的Mike Lercel介绍 ,以典型的5nm工艺为例,2021 年的逻辑值是 10 层以上 EUV 层,到2023 年的3nm将会有20层的EUV层,而DRAM 目前的EUV层使用量约为 5 层。

Mike Lercel还谈到了未来 DRAM 曝光的展望,他指出,不就之后DRAM上有大约会有 8 个关键层,最终其中一些层可能需要多重图案化,使每个晶圆的 EUV 曝光达到 10 层。

从报道中可以看到,新型号的EUV光刻机系统 NXE:3600D将能达到93%的可用性,这将让其进一步接近DUV光刻机(95%的可用性)。

数据显示,NXE:3600D 系统每小时可生产 160 个晶圆 (wph),速度为 30mJ/cm?,这比 NXE:3400C 高 18%。二正在开发的 NXE:3800E系统最初将以 30mJ/cm?的速度提供大过195wph的产能,并在吞吐量升级后达到220wph。

据介绍,NXE:3600E 将在像差、重叠和吞吐量方面进行渐进式光学改进。

从semiwi的报道中我们可以看到,在0.33 NA的EUV光刻机领域,ASML 路线图包括到 2025 年左右推出吞吐量约为220wph 的 NXE:4000F。按照EUV 执行副总裁Christophe Fouquet在参加高盛虚拟峰会的时候的说法,公司之所以把新设备称它为 F,因为ASML也希望通过该设备能显著提高生产力,这主要归功于公司希望在该系统的功率上能够更进一步。

至于产能的增加幅度,Christophe Fouquet表示,这可能会达到10%到20%,但他们依然还没有最终确定。不过ASML目前计划在 2025 年左右交付第一个NXE:4000F系统。

semiwiki在文章中表示,对于 0.33 NA 系统,ASML 正致力于通过增加吞吐量和降低总能量来减少每次曝光所需的功耗,而双重图案甚至也将成为0.33NA光刻机需要发力的一个方面。

如在之前的报道中指出,在发力0.33 NA光刻机的时候,ASML也在加快0.55 NA光刻机的进度。而继英特尔表示将在2025年使用上High-NA光刻机之后,台积电在日前也将High-NA光刻机的应用时间放在2024年。这无疑是大大提升了先进EUV光刻机的应用时间。

因为从相关资料可以看到, 0.33 NA的常规 EUV 光刻机从原型机出货(2010 年)到量产机出货(2019 年)用了大约10 年时间。如果相关报道属实,那就意味着 0.55 NA 的high NA EUV 光刻机从原型机出货(2023年)到量产机出货(2026 年)只需要短短的三年。

0.55 NA EUV光刻机的目标

关于为什么要提升EUV光刻机的NA,这在很多文章中也都谈过。

归根到底,高数值孔径 EUV 系统的好处可以用一个词来概括——分辨率。因为根据瑞利公式,将孔径从0.33增加到 0.55,可以成比例地提高可实现的临界尺寸——从0.33 NA 系统的 13nm提升到0.55 NA EUV 可能低至 8nm。

在上个月举办的 SPIE 会议上,ASML 和蔡司报告说,虽然开发正在按计划进行,但预计要到 2023 年才能安装第一个0.55 NA EUV系统。如图所示,ASML 的路线图将第一个High NA 系统 (EXE:5000) 安装在 ASML 工厂的实验室中,并于 2023 年与 Imec 联合运行,以进行初步评估。

EXE:5000 系统应在 2024 年交付给客户,生产型 EXE:5200 系统应在 2025 年左右交付给客户用于生产使用,

在semiwiki的文章里他们谈到,High-NA 的光学器件比 0.33 NA 的要大得多,需要独特的设计方法。0.55 NA 系统将具有一个变形镜头系统,在一个方向上具有 4 倍的缩小率(与 0.33 NA 相同),在正交方向上具有 8 倍的缩小率。由于reticle的尺寸和 8 倍的缩小,可打印区域尺寸在扫描方向上减半至 16.5nm。

为了更快地推动High NA EUV光刻机落地,ASML正在和很多研究机构和企业携手,如imec就是他们一个很重要的合作火棒。

imec执行长Luc Van den hove表示,imec与ASML合作开发High-NA技术,ASML现在正在发展首台0.55 High-NA EUV微影扫描设备EXE:5000系统的原型机。他指出,与现有的EUV系统相比,High-NA EUV微影设备预计将能在减少曝光显影次数的情况下,实现2奈米以下逻辑芯片的关键特征图案化。

而为了建立首台High-NA EUV原型系统,imec持续提升当前0.33 NA EUV微影技术的投影解析度,借此预测光刻胶涂布薄化后的成像表现,以实现微缩化线宽、导线间距与接点的精密图案转移。

同时,imec携手材料供应商一同展示新兴光刻胶与涂底材料的测试结果,在High-NA制程中成功达到优异的成像品质。同时也提出新制程专用的显影与蚀刻解决方案,以减少微影图案的缺陷与随机损坏。

从这个描述中我们可以看到,对于0.55 NA的光刻机,需要更新的不但是其光刻机系统。同时还需要在光掩模、光刻胶叠层和图案转移工艺等方面齐头并进,才能让新设备应用成为可能。

生态系统全力以赴

在晶圆厂中,芯片制造商需要利用光刻机和其他设备来生产芯片。使用在设计阶段生成的文件格式,光掩模设施创建掩模。掩模是给定芯片设计的主模板,最终被运送到晶圆厂。从那里,晶圆被插入到涂层机/显影系统中。该系统将一种称为光刻胶的光敏材料倒在晶圆上。

然后,将掩模和硅片插入光刻扫描仪中。在操作中,扫描仪产生光,光通过一组投影光学器件和系统中的掩模传输。光照射光刻胶,在硅片上形成图案。

从过往从DUV到EUV升级一样,来到High-NA EUV上也需要新的光掩模类型。因为在更高的孔径下,光子以更浅的角度撞击掩模,相对于图案尺寸投射更长的阴影。“黑暗”、完全被遮挡的区域和“明亮”、完全曝光的区域之间的边界变为灰色,从而降低了图像对比度。

据Semiengineering报道,有几个选项可用于降低有效吸收器(effective absorber)高度,从而降低 3D 掩模效果的影响。第一个也是最简单的方法是减小吸收材料的厚度。

Imec 高级图案化项目总监 Kurt Ronse 在接受Semiengineering时表示,由High NA EUV 图案化的第一层可能具有相对宽松的尺寸,约为 28nm。简单地降低吸收器高度应该提供足够的对比度。

然而,随着功能不断缩小,制造商将需要重新考虑吸收材料。Erdmann 指出,目前使用的钽基吸收体(tantalum-based absorber)的光学特性相对较差。降低吸收体的折射率将改善剂量-尺寸特性,在恒定曝光剂量下实现更小的特征。

同时,增加消光系数会减少三维效应。

然而,n和k不是掩模制造商可以简单地在工艺刻度盘上设置的独立参数,它们是材料属性,因此彼此相关,并与吸收器的其他特性相关。为了采用新材料,掩模制造商必须能够蚀刻它并修复缺陷。

目前用于钽吸收体的反应性离子蚀刻是一些候选材料的一种选择,但新的吸收体仍可能需要新的蚀刻工艺和新的化学物质。“因为接触层和金属层有不同的要求,他们可能也需要不同的吸收体。”Ronse说。

按照他所说,在这方面还没有出现共识选择,然而为了继续进行工艺开发,掩模制造商也需要行业的额外指导。

Semiengineering进一步指出,光在穿过光掩模的吸收器图案后,EUV 光子遇到硅片及其光刻胶层( photoresist blanket)。减小的焦深使得同时保持光刻胶叠层的顶部和硅片平面聚焦变得更加困难。

如果焦点错误使相邻特征靠得太近,则间隙无法清除并出现桥接缺陷。如果特征之间的空间太大,则所得到的光刻胶特征太薄并在其自身重量下塌陷。

因此降低光刻胶的厚度既可以提高焦点,又可以降低图案崩塌的风险。但与此同时,也会带来额外的挑战。如在报道中披露,一种有希望的替代品是金属氧化物光刻胶。

据报道,这种光刻胶使用入射光子来分解氧化锡纳米团簇( tin-oxide nanoclusters)。氧化物簇(oxide clusters )可溶于显影剂中,而金属锡则不溶这些是负性光刻胶。曝光使材料不溶。

金属氧化物本质上更耐蚀刻并吸收更多的 EUV 光子,从而使它们能够以更薄的层实现可比的结果。但不幸的是,接触孔,可能是高数值孔径 EUV 曝光的第一个应用,然而它需要正的光刻胶。

此外,其他与 EUV 相关技术也在研究中,例如 pellicles。这是一个用于覆盖掩膜,防止颗粒落在其上的产品。

相关报道指出,ASML 开发了新的 EUV pellicles。同时,Imec 的碳纳米管pellicles在 ASML 的 EUV 扫描仪上的透射率达到了 97.7%。单壁和多壁pellicles都是有前途的。

按照Imec 技术人员的主要成员 Emily Gallagher 所说:“这两种类型都表现良好,在 CD 均匀性、LWR 和耀斑方面,与无pellicle参考相比,成像差异极小。根据测量的这些pellicle的 EUV 吸收率在 95.3% 到 97.7% 之间,预计剂量会略有增加。”

写在最后

在生态系统的共同努力下,ASML正在努力土推动High-NA光刻机成为可能。与此同时,他们还在加大EUV光刻机的产能提升,并与产业一起,推动这些先进的技术面向更多的应用。

根据ASML 在一季度财务会议上披露的数据,公司的目标是在 2022 年出货 55 台 EUV系统,并到 2025 年实现(最多)90 台工具的计划。ASML 同时还承认, 90 台可能超过 2025 年的实际需求,不过他们将其描述为为满足2030 年 1 万亿美元半导体行业需求所做出的巨大努力。

Christophe Fouquet在高盛的会议上则强调,High NA EUV光刻机将首先在逻辑芯片上应用,随后,DRAM乃至3D DRAM也会是High NA EUV光刻机关注的方向。

自 半导体行业观察

]]>
芯智讯:2021年全球前15大半导体设备供应商排名 美国应用材料以241.72亿美元的收入排名第一 //www.otias-ub.com/archives/1448041.html Sun, 12 Jun 2022 08:56:05 +0000 //www.otias-ub.com/?p=1448041

近两年来,由于全球芯片持续供不应求,促使各大晶圆制造厂商纷纷扩产应对,这也直接推动了全球半导体设备的市场的增长。根据国际半导体产业协会SEMI的统计,2021年全球半导体制造设备销售额激增,相比2020年的712亿美元增长了44%,达到1026亿美元的历史新高。

近日,芯智讯根据各半导体设备公司财报及相关公开数据,整理了2021年自然年度(2021年1-12月)全球前15大半导体设备供应商的销售数据及排名显示,美国应用材料以241.72亿美元的收入排名第一,光刻机大厂荷兰ASML以217.75亿美元排名第二,之后的前五厂商分别为日本东京电子(172.78亿美元)、美国泛林集团(165.24亿美元)、美国科磊(81.65亿美元)。

之后排名第6至第15的公司分别为:日本爱德万(39.07亿美元)、美国泰瑞达(37.03亿美元)、日本SCREEN(36.32亿美元)、韩国SEMES(24.86亿美元)、日本日立高科(预计24.53亿美元)、日本迪斯科(21.67亿美元)、荷兰ASMI(20.24亿美元)、日本尼康(19.98亿美元)、中国香港ASM太平洋科技(17.39亿美元)、日本Kokusai Electric(16.38亿美元)。

可以看到,进入全球前10大半导体设备企业的门槛约为24亿美元,而进入全球前15大半导体设备企业的门槛则约为16亿美元左右。

以2021年全球半导体制造设备销售额1026亿美元来计算,前五名厂商的总营收(879.07亿美元,包括部分非半导体设备销售收入)贡献了整个行业超过85%的营收。第四名的泛林集团的营收是第五名科磊的两倍,而科磊的营收又是第六名的泰瑞达的两倍以上,这也显示出半导体设备市场强者恒强的特性。

另外,从前十五大半导体设备厂商总部的所属地区来看,美国4家,且排名均位于前七;日本7家,占据了近半;荷兰2家;韩国1家;中国香港1家。由此也可以看出,美国、日本、荷兰在半导体设备领域的强势地位。

需要强调的是,由于各半导体企业的年报统计的起止时间上有差异,所以正如该统计备注当中所指出的,这份统计数据统计的2021年自然年度的各企业的半导体相关收入,而非各企业2021/22财年的营收。涵盖了半导体设备、FPD设备及相关的服务收入。

1、应用材料

应用材料(Applied Materials,AMAT)成立于1967 年,是全球最大的半导体设备厂商。其产品主要覆盖薄膜沉积(CVD、PVD 等)、离子注入、刻蚀、快速热处理、化学机械平整(CMP)、计量检验等设备。

数据显示,2019 年应用材料占据了全球70%的CMP设备市场。另外有数据显示,在全球 PVD(Physical Vapor Deposition)设备市场,应用材料拥有近 55%的份额,在全球 CVD(ChemicalVaporDeposition)设备市场份额也达到了近 30%。在刻蚀设备领域,应用材料也有着不小的市场份额。

财报显示,应用材料截止2021年10月31日的2021财年营收为230.6亿美元,同比增长34%。为了尽量靠近2021年自然年的业绩,我们用其2021财年的营收加上其截止于2022年1月30日2022财年第一财季的营收(62.7亿美元),再减去其截止2021年1月30日的2021财年第一财季的营收(51.6亿美元),即应用材料在2021年自然年度的营收约为241.7亿美元。

从收入来源地区看,2021年度,应用材料来自中国大陆地区的营收占比最高,达到了约33.8%。其次是韩国20.3%,台湾19.8%,美国10.7%,日本8.5%。

根据应用材料最新公布的财报显示,其截至2021年5月2日为止的第二财季营收为62.45亿美元,基于GAAP(一般公认会计原则),公司毛利率为46.9%,营业利润为18.9亿美元。其中来自中国大陆的营收占比进一步提升到了34%。

展望2022财年第三季度,应用材料公司预计净销售额约为62.5±4亿美元,其中包括由供应链挑战所带来的预期影响。调整后的非GAAP稀释每股盈余预计在1.59美元至1.95美元之间。

2、ASML

作为全球第一大光刻机厂商,ASML公司占据了全球90%以上的高端光刻机市场(以销售额计算),也是全球唯一一家能够供应7nm以下先进制程所必需的EUV光刻机的厂商。

一台EUV光刻机售价约2亿美元,而可以制造2nm先进制程的ASML的新一代高数值孔径 (High-NA) EUV光刻机EXE:5500的售价将更是高达约4亿美元。

ASML的公布的截止2021年12月31日的2021年全年营收为186.11亿欧元,同比增长35%。按照2021年度欧元兑美元中间汇率1:1.17换算,约为217.75亿美元。

2021年ASML来自光刻系统方面的营收为136.53亿欧元,总共销售了287台光刻系统。具体的销量方面,EUV光刻系统42台,贡献了约63亿欧元,销售额占比高达46%;ArFi光刻系统81台,销售额占比36%;ArF光刻系统131台,销售额占比10%;i-Line光刻系统33台,销售额占比1%。

从ASML的光刻系统最终出货地来看,中国台湾地区贡献的销售额占比高达44%,韩国占比35%,中国大陆占比16%。

根据ASML最新公布的2022年一季度财报显示,该季度ASML实现净销售额35.34亿欧元,同比下滑19%,毛利率为49%,净利润6.95亿欧元,新增订单金额70亿欧元。从最终出货地的营收占比看,来自中国大陆的占比最高,达34%,紧随其后的是韩国29%,中国台湾22%,美国6%,日本7%。

ASML预计第二季度净销售额在51亿至53亿欧元之间,毛利率约为49%-50%。预计研发成本约为7.9亿欧元,销售及管理费用约为2.2亿欧元。2022年全年营收预期增长仍为20%左右。

3、东京电子

东京电子是日本最大、全球第三大半导体制造设备提供商,公司总部在日本东京,主要从事半导体制造设备和平板显示器制造设备的研发和生产。主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。

资料显示,东京电子是涂胶显影设备领域的行业龙头,涂布设备在全球占有率达到87%。另外,FPD(平板显示)制造设备中,其蚀刻机设备占有率达到71%。

东京电子2021/22财年(2021年4月1日至2022年3月31日)财报显示,该财年营收20,038亿日元,同比增长43.2%。根据其公布的2021自然年度的四个个季度的业绩合计约18780亿日元(包括了FPD生产设备营收678亿日元),按照2021年1日元兑0.0092美元的汇率中间值计算,约合172.78亿美元。

从营收来源地区来看,2021年东京电子来自中国大陆的营收占比最高,达到了27.5%,紧随其后的是韩国21.3%,中国台湾18.8%,美国11.8%,日本9.8%。

东京电子今年一季度的营收为5648亿日元,同比增长11.5%,毛利为2559亿日元,同比增长9.8%。来自中国大陆的营收占比为22%。

东京电子指出,预计半导体制造设备市场将进一步成长,因此预估本财年(2022年4月-2023年3月)合并营收将年增17.3%至23,500亿日圆、合并营业利率将年增19.5%至7,160亿日圆、合并净利润将年增19.7%至5,230亿日圆。

4、泛林集团

泛林集团又称拉姆研究,成立于1980年,其产品主要包括刻蚀设备、薄膜沉积(Deposition—CVD/ECD/ALD)设备以及去胶和清洗(Strip & Clean)、镀铜等设备。在刻蚀设备市场约占全球45%份额,全球第一,其中导体刻蚀约占全球50%以上的市场份额,全球第一;介质刻蚀约占全球20%以上的市场份额,全球第二;CVD约占全球市场20%左右的市场份额,全球第三。

泛林集团的2021财年统计的是2020年6月28日至2021年6月27日的财务数据。根据其公布的各个季度的数据来看,截至2021年12月26日的2021自然年度的营收约为165.24亿美元。

从营收来源地区来看,2021年泛林集团来自中国大陆地区的营收占比也是最高的,达到了33%,紧随其后的则是韩国26.8%,中国台湾15%,美国5.5%。

泛林集团公布的截至2022年3月27日的第三财季业绩显示,该财季营收40.6亿美元,上年同期为38.48亿美元。季度净利润10.22亿美元,上年同期为10.71亿美元。

5、科磊

科磊公司成立于1976年,总部在美国加州硅谷主要为半导体、数据存储、LED 及其他相关纳米电子产业提供前道工艺控制和良率管理的解决方案。产品种类已经覆盖加工工艺环节的各类前道光学、电子束量检测设备。凭借其检测产品高效、精确的性能特点,科磊在半导体检测量测设备领域拥有绝对的龙头地位。

从具体产品来看,科磊产品范围广泛,包括了缺陷检测、Overlay、CD 量测,膜厚等,应用材料主要是缺陷检测及复查、CD 量测等,日立主要为 CD-SEM 量测、缺陷检测等。

根据 2018 年 SEMI 数据,在全球半导体前道检测设备领域科磊拥有高达52%的市场份额。

根据科磊的年报显示,由于其通常是以截至6月30日之前的12个月为一个财年,根据其财报公布的各个季度的数据计算,可得到其2021年自然年度的营收约为81.65亿美元。

从收入的来源地区看,科磊2021年的营收当中,中国大陆的占比最高,达到了27%,之后则是韩国17.5%,中国台湾28.6%,美国10.5%,日本8.3%。

科磊公布的2022财年第三季度(2022年1-3月)业绩显示,总收入为22.89亿美元,同比增长了27%。

对于2022年全年的预期,科磊基于其设备预订量的增长以及大量积压的订单,认为2022年需求将继续超过供应,并预计在2022年之前季度收入将会连续增长,全年整体收入增长将超过20%。为此,科磊将在全球制造范围内战略性地增加产能,以支持这一前景和客户不断增长的过程控制要求。

6、爱德万

爱德万(Advantest)成立于1954 年,总部位于日本东京市,是全球领先的半导体测试设备厂商,拥有种类完善的半导体后道测试台和分选机。数据显示,在储存器测试台细分市场领域,爱德万以40%的市占率长期位居全球首位。

爱德万的年报通常是以截至3月31日之前的12个月为一个财年,根据财报公布的各个季度的数据计算,可得到其2021年自然年度营收为39.07亿美元。

7、泰瑞达

泰瑞达成立于2003年,总部位于美国马萨诸塞州,是一家全球顶尖的自动测试设备的供应商。泰瑞达的自动测试装备产品和服务包括:半导体测试系统、军事/航空测试仪器和系统、储存测试系统、电路板测试和检查系统、无线测试系统。

根据泰瑞达公布的财报数据显示,其2021年自然年度营收为37.03亿美元。

2022年第一财季(1月1日-2022年4月3日)泰瑞达营业收入7.55亿美元,净利润1.62亿美元。

泰瑞达总裁兼首席执行官Mark Jagiela表示,进入第二季度,测试中的需求环境比我们1月份的展望逐步改善,汽车和闪存终端市场尤其强劲。在工业自动化方面, Universal Robots和autonomous mobile robot需求依然强劲,预计全年收入增长率将加快。2022年第二季度的收入指引为7.8亿美元至8.7亿美元。

8、Screen

Screen(迪恩士)成立于1973年,总部位于日本东京,产品覆盖半导体、LCD、印刷电路板制程设备。资料显示,Screen在单晶圆清洗设备市场占有率高达54.9%。

Screen的2021/22财年的年报(2021年4月-2022年3月)营收是4118亿日圆,同比增长28.6%。通过查阅财报可以看到,其2021年自然年度的营收为其2022财年前三季度营收2911亿日元加上2021财年第四财季营收1038亿日元,最终计算得到的年度营收为3949亿日元,约合36.32亿美元。

财报也显示,Screen今年一季度(2022年1-3月)营收为1207亿日元,同比增长了14%。

Screen表示,一季度SPE订单额创新高。Screen社长广江敏朗在线上财报说明会上也表示,“来自晶圆代工厂等企业的订单强劲,此种情况预估将持续至2023年3月”。

9、SEMES

SEMES是韩国三星电子旗下的一家半导体设备供应商(三星拥90%以上的Semes的股份),同时也是韩国最大的半导体设备制造商。目前,Semes的产品主要包括半导体前道工序领域的清洗(LOTUS、BLUEICE PRIME)、Phototrack(OMEGA-S、OMEGA-K)、蚀刻(Michelan O3、Michelan C4)设备,还有后道工序的Bonder、Probe、Test Handler等设备。

根据SEMES官网数据显示,其2021年全年的收入为3.12万亿韩元,按照2021年韩元兑美元的中间汇率(1:0.0008)计算,约合24.96亿美元。营业利润为3533亿韩元,约合2.83亿美元。这是该公司迄今为止最好的年度业绩。与2020年相比,收入和营业利润也分别增长了41%和24%。其中,晶圆厂相关设备占Semes的2021年收入的75%,而平板显示设备占比1.7%。作为对比,在2020年,其晶圆厂设备占其年收入的61.24%,而平板显示设备占比9.9%。

10、Hitachi Higt-Tech

日本日立高科(Hitachi Higt-Tech)成立于1947年,是全球领先的设备大厂。主要产品包括半导体设备、电子显微镜、FPD设备及医疗分析设备等。其中,面向半导体领域提供过程设备,计量和检测设备,其核心产品包括CD测量SEM和等离子蚀刻系统,可实现高精度超细加工。此外,其FPD设备包括包括Array、Cell、Module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备等。

日立高科2020年半导体相关业务营收为2186.09亿日元,约合20.11亿美元。如果按照其2021年营收增长22%(2021年全球半导体制造设备销售额同比44%增长的中位数)来计算,将到达24.53亿美元。

11、DISCO

DISCO(迪斯科)创立于1937年,总部位于日本东京,是全球领先的晶圆切割设备厂商。

其2021/22财年(2021年4月-2022年3月)营收2,537.81亿日圆,同比增长38.8%。按照其财报展示的各个季度业绩数据计算,其2021年自然年度的营收为2355亿日元,约合21.67亿美元。

DISCO今年一季(2022年1-3月)度营收628亿日元,同比增长13.77%。

DISCO指出,因客户投资意愿持续旺盛,该公司产能将持续全开来因应,预估今年二季度(2022年4-6月)合并营收将较去年同期成长29.2%至624亿日圆、合并营益预估大增43.7%至222亿日圆、合并纯益将大增48.4%至157亿日圆,出货额预估将成长8.9%至683亿日圆。

12、ASM International 

ASMI(ASM International N.V.)成立于1968年,总部位于荷兰,是一家设备供应商,主要供应半导体、LED和电子制造业产品。该公司为客户提供设计、制造和销售设备和服务,生产半导体器件或集成电路、发光二极管和一般电子制造。其中面向半导体领域的设备主要包括:晶圆加工设备,装配和包装设备,测试设备等。

ASMI还开创了工业中使用的许多成熟晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。近年来,ASMI还将原子层沉积 (ALD) 和等离子增强原子层沉积 (PEALD) 从研发带到了先进制造商现场的主流生产。官方的数据显示,在2020年ALD设备市场,ASMI占据了55%的市场份额。

值得一提的是,ASM太平洋科也是由ASMI投资的,当ASM太平洋科技于1989年在香港上市时,其持有53.1%的股份,之后ASMI一路减持,截至去年底仍持有约24.96%的股份。

根据财报数据显示,ASMI在2021年度的营收为17.30亿欧元,约合20.24亿美元。

2022年一季度财报显示,ASM太平洋科技营收为52.7亿港元,同比增加21.5%;公司持有人应占盈利8.32亿港元,同比增加59.6%。

13、Nikon

尼康成立于1917年,总部位于日本东京,是全球领先的基于先进光电和精密技术的产品和解决方案供应商之一。除了我们所熟知的相机产品之外,尼康还拥有针对半导体及FPD制造的光刻设备。

尼康的2022财年是自2021年4月1日-2022年3月31日的12个月,并且其业务范围较广,光刻机仅为其主营业务之一。因此,我们根据财报统计了2021年自然年度其精密设备业务(包括半导体设备和FPD设备)的销售额,总计为2172亿日元,总计约为19.98亿美元。

财报也显示,尼康在2021财年(2021年3月-2022年3月)销售了9台全新的半导体光刻设备,以及18台翻新的光刻设备。

14、ASM Pacific Technology   

ASM太平洋科技有限公司立于1975年,是一家总部设在中国香港特别行政区的上市公司(1989年香港上市),同时在中国深圳,新加坡和马来西亚拥有生产和研发基地。主要从事半导体及电子行业机械及材料生产业务,是全球头部的半导体和发光二极管(LED)行业的集成和封装设备供应商,为跨国芯片制造商、独立集成电路(IC)装配工厂、消费电子产品和LED制造商提供装配设备及材料(蚀刻式和冲压式引线框架)等。

财报显示,2021年自然年度度营收28.2亿美元,同比增长49.3%。来自中国大陆的营收占比高达47.8%。其2021年度半导体设备解决方案的营收为17.39亿美元,同比增长69.6%。

ASM太平洋第一季度营收52.7亿港元,同比增长21.46%,净利润8.32亿港元,同比增长57.10%。

15、Kokusai Electric

Kokusai Electric原为日立国际电气,并与日立High Technology共同在日立集团下开展半导体设备的竞争。但随着日立制作所的业务集中、筛选,在2017年作为非核心业务被出售给了美国的私募基金(Private Equity Fund)――Kohlberg Kravis Roberts(KKR),正式脱离日立集团。此外,KKR把原本在日立国际电气集团中承担半导体生产设备和成膜工艺技术的资产进行汇总,于2018年6月成立了新的Kokusai Electric。

KKR此前计划将Kokusai Electric部分半导体设备业务(或者全部业务)出售给中国的大型企业和中国政府联合的基金组织,遭遇失败。随后应用材料也计划35亿美元收购Kokusai Electric,但同样遭遇了失败。

根据Kokusai Electric官网公布的资料显示,其2021财年营收为1780亿日元,约合16.38亿美元。其中来自中国大陆的营收占比最高,达到了30.2%。

其他有望进入前20的厂商

国产半导体设备厂商北方华创北方2021年实现营业收入96.83亿元,同比增长59.90%,实现归母净利润10.77亿元,同比增长100.66%。其96.83亿元的营收,约合14.52亿美元,应该足以进入2021年全球前20大半导体设备企业排名。

2022年一季度,北方华创实现营业收入21.36亿元,同比增长50.04%,实现归母净利润2.06亿元,同比增长183.18%。

北方华创表示,2022年一季度公司单晶炉产品出货相较往年有所减少;碳化硅长晶设备订单饱满,预计今年出货将超500台。总体来说,北方华创目前在手订单充足较为充足,生产经营正常,预计2022年全年也将保持增长。

日本半导体设备厂商大福集团(Daifuku)的2021财年(2020年4月-2021年3月)销售收入为5122.68亿日元。查询财报,统计2021年四个自然季度的总销售收入为4965亿日元,约合45.68亿美元。其中来自电子行业(主要为半导体行业的洁净室存储、搬运系统)的收入占比约为29.4%(2021财年),基于此估算,其2021年自然年度收入约13.43亿美元,也有望进入2021年全球前20大半导体厂商排名。

佳能的半导体设备业务被划分在“Industrial & others”当中,其中还包括了网络摄像机、工业相机、CMOS传感器、大型商用印刷机/打印机等,2021年度的该业务的营收为5457.42亿日元,同比增长18.2%。单纯看财报,确实难以把半导体设备的营收独立计算出来。不过,其营收规模要远小于尼康。

半导体设备需求旺盛,但供应受限

自2020年四季度全球“缺芯”危机爆发以来,推动众多的晶圆制造厂商纷纷开始了大规模的扩产。根据SEMI的预测报告,全球半导体制造商将于2021年年底前启动建置19座新的高产能晶圆厂,2022年将开工建设另外10座晶圆厂以满足市场对于芯片不断增加的需求。而晶圆制造厂商的纷纷扩产也直接拉动了对于半导体设备的旺盛需求。

SEMI的数据显示,2021年全球半导体制造设备销售额激增,相比 2020年的 712 亿美元大幅增长了44%,达到1026亿美元的历史新高。而对于2022年半导体设备市场,SEMI则预测2022年全球前端晶圆厂设备支出总额将同比增长18%,达到1070亿美元的历史新高。

从前十五半导体设备厂商的一季度业绩来看,大部分的厂商一季度营收都保持了不错的增长,同时对于2022年全年不少厂商也是给出了继续保持增长的预期。

不过,对于半导体设备厂商来说,目前订单充足,但是也面临着零部件、材料供应限制,导致自身产能上的限制,产品的交期也持续延长。

据《日经亚洲评论》此前报道称,由于从镜头、泵、阀门、微控制器、工程塑胶、电子模组等零件全都紧缺,应用材料、科磊、泛林集团、ASML等半导体设备大厂都向客户发出警示,部分关键设备必须等待最多18个月才能交付。

数据显示,在疫情爆发前的2019年,半导体设备交货期平均约为3~4个月,2021年已延长至10~12个月。业界消息透露,科磊检测设备的交期已经达到了20个月以上。但是,采访十多名业界高层后却发现,许多半导体设备厂商的零部件供应商的扩产意愿却并不高,这也使得供应紧张,同时寻找替代品的难度也大。SEMI最新公布的预测数据显示,目前部分半导体设备的交付时间甚至超过2年。

ASML CEO彼得·温宁克(Peter Wennink)在今年3月接受媒体采访时表示,ASML的部分零部件供应商就因为缺芯导致延迟交付,这也进一步导致ASML的设备出货受阻。在之后的采访中,温宁克还透露,由于缺少芯片,一家制造企业正在大量购买洗衣机,然后拆除其中的芯片来使用。

应用材料总裁兼 CEO Gary Dickerson在今一季度财报电话会议上表示,应用材料正在面对供应中的多重挑战,关键问题则是硅元件以及设备子系统中某些部件的短缺。

泰瑞达负责人也表示,“从供应的角度来看,我们在大多数产品领域继续遇到材料限制。”

泛林半导体总裁兼首席执行官 Timothy M.Archer也强调,由于缺乏关键组件,该季度泛林半导体有20亿美元收入将无法确认。

ASMI CEO Benjamin Loh今年一季度也表示,由于缺芯导致制造芯片的机器设备也出现了芯片短缺,这也导致了公司机器交货延迟,而这又会再影响到全球晶圆厂的增产。芯片供应吃紧的情况,很可能今年仍将持续一整年。

自 芯智讯

]]>
ASML:ASML美国销售额连续3年下降 韩国、台湾地区同期增长3倍 //www.otias-ub.com/archives/1388545.html Mon, 14 Feb 2022 06:09:49 +0000 //www.otias-ub.com/?p=1388545

光刻机全球龙头ASML在美国的销售额连续3年下降,与此形成鲜明对比的是,同期ASML在韩国和中国台湾地区的销量增幅高达3倍,证明美国对半导体生产基础设施的投资不足。

据ETNews报道,ASML占全球光刻机市场的84%,并独家供应先进工艺所需的EUV光刻机。引进其设备的数量会影响半导体生产能力,因此其销售额能够被用于衡量各地区对半导体设备投资情况。

财报显示,2019年到2021年,ASML在美国的收入下降了约20%。从2019年的19.8亿欧元,到2020年和2021年分别减少到16.57亿欧元和15.83亿欧元。

同期,ASML在亚洲的销售额显著增长。在主要的半导体生产基地韩国,销售额从2019年的22.02亿欧元增长到2021年的62.23亿欧元,增长了近两倍。

中国台湾地区也从2019年的53.57亿欧元增长到去年的73.27亿欧元,增幅为37%。这是三星电子和SK海力士为扩大尖端微处理能力,引进了大量EUV光刻机的结果。台积电大举投资半导体设备,也推动了销售的增长。

在美国禁止出口ASML核心设备EUV曝光设备的中国大陆,其年销售额也翻了一番,从2019年的13.77亿欧元增至2021年的27.4亿欧元。据分析,中国大陆购买的光刻设备比美国还多。除受管制的EUV设备外,主要引进了ArF、KrF、i线等光刻设备。

ASML在美国的销量下降证明,美国在半导体生产基础设施方面的投资到目前为止还不够。到2020年,美国缺乏投资半导体晶圆厂的意愿。据分析,这是因为英特尔宣布进入代工领域的时间较晚,以及美光曾经对EUV设备持怀疑态度。

随着美国吸引本国的半导体生产基地,ASML设备的引进预计将会加速。英特尔计划到2025年采用ASML下一代曝光设备,美光也正式使用EUV设备。

但是半导体业界预测,美国的半导体生产能力不会立即超过中国台湾和韩国。半导体业界有关人士表示:“作为ASML的主要销售和核心设备的EUV设备在中国台湾为80台,在韩国为50台,与美国存在差距。美国大举投资不会导致短期产能逆转。”

自 集微网

]]>
2019年到2021年 ASML在美国的收入下降了约20% //www.otias-ub.com/archives/1388332.html Sun, 13 Feb 2022 09:42:34 +0000 //www.otias-ub.com/?p=1388332

光刻机全球龙头ASML在美国的销售额连续3年下降,与此形成鲜明对比的是,同期ASML在韩国和中国台湾地区的销量增幅高达3倍,证明美国对半导体生产基础设施的投资不足。

据ETNews报道,ASML占全球光刻机市场的84%,并独家供应先进工艺所需的EUV光刻机。引进其设备的数量会影响半导体生产能力,因此其销售额能够被用于衡量各地区对半导体设备投资情况。

财报显示,2019年到2021年,ASML在美国的收入下降了约20%。从2019年的19.8亿欧元,到2020年和2021年分别减少到16.57亿欧元和15.83亿欧元。

同期,ASML在亚洲的销售额显著增长。在主要的半导体生产基地韩国,销售额从2019年的22.02亿欧元增长到2021年的62.23亿欧元,增长了近两倍。

中国台湾地区也从2019年的53.57亿欧元增长到去年的73.27亿欧元,增幅为37%。这是三星电子和SK海力士为扩大尖端微处理能力,引进了大量EUV光刻机的结果。台积电大举投资半导体设备,也推动了销售的增长。

在美国禁止出口ASML核心设备EUV曝光设备的中国大陆,其年销售额也翻了一番,从2019年的13.77亿欧元增至2021年的27.4亿欧元。据分析,中国大陆购买的光刻设备比美国还多。除受管制的EUV设备外,主要引进了ArF、KrF、i线等光刻设备。

ASML在美国的销量下降证明,美国在半导体生产基础设施方面的投资到目前为止还不够。到2020年,美国缺乏投资半导体晶圆厂的意愿。据分析,这是因为英特尔宣布进入代工领域的时间较晚,以及美光曾经对EUV设备持怀疑态度。

随着美国吸引本国的半导体生产基地,ASML设备的引进预计将会加速。英特尔计划到2025年采用ASML下一代曝光设备,美光也正式使用EUV设备。

但是半导体业界预测,美国的半导体生产能力不会立即超过中国台湾和韩国。半导体业界有关人士表示:“作为ASML的主要销售和核心设备的EUV设备在中国台湾为80台,在韩国为50台,与美国存在差距。美国大举投资不会导致短期产能逆转。”

自 集微网

]]>
ASML还是被低估了? //www.otias-ub.com/archives/1265098.html Mon, 21 Jun 2021 14:34:43 +0000 //www.otias-ub.com/?p=1265098 ASML Holding ( ASML.US )拥有独一无二的市场地位,其最先进的技术平台 EUV 光刻没有竞争对手。同样,它在 DUV 内面临非常有限的竞争,这两个平台对半导体制造都至关重要。半导体行业家喻户晓的品牌属于制造商,但机械供应商(例如 ASML)通过广泛的技术知识和强大的工艺知识掌握着非常强大的护城河,如果他们想要参与竞争,则将所有潜在竞争对手落后多年。

很难想象一个更好的竞争形势,尤其是当在一个预计未来许多年增长远高于总体 GDP 的行业中运营时。然而,市场早已认识到 ASML 的潜力,但仍然可能被低估了。

未来几年的市场和价值驱动因素

对于 ASML 的追随者来说,这一点并不奇怪,但 ASML 在将推动其未来十年收入的产品供应中占据主导地位,即 EUV(极紫外光刻)技术。我个人的看法是,在任何行业的任何地方都很难找到一家处于类似优势竞争地位的公司。ASML 提供光刻设备,这是一种通过光源打印芯片特征的技术,其最先进的是 EUV,它是 DUV(深紫外光刻)的下一代。对于 DUV 而言,尽管 ASML 拥有超过 85% 的巨大市场份额,但仍有竞争对手。DUV 和 EUV 之间的区别在于,EUV 的工作波长几乎比 DUV 小 15 倍(13.5nm 与 193nm 相比)。

实际上,5nm和即将到来的3nm等前沿芯片的半导体制造商对EUV机器的依赖程度很高。没有它,这简直是不可能的。对于能够制造这些机器的人来说,这听起来很划算,但只有一家公司能够做到,那就是 ASML。对于每一代新的 EUV 机器,随着吞吐量的提高和停机时间问题的减少,其产量都会变得更好,这意味着 ASML 实际上比任何试图接受挑战并挑战其主导地位的人领先数光年。

这是一个一切都与过程知识有关的行业。台积电能够因为它能够产生7nm的生产5nm的芯片,它能够产生3nm的,因为它可以产生5nm和做一个亿以上,其时间也就是为什么它是如此有损于英特尔 表示,它不得不承认其在 7nm 技术方面的持续问题。

很简单,如果你做不到 5nm,就没有 3nm,正如我在上一篇文章中所讨论的那样。ASML 也是如此,因为如果他们进入 EUV 领域,竞争对手将落后于 ASML 数年,因为他们将与十多年前在 EUV 早期困扰 ASML 的相同问题作斗争。我已经收录了他们最近于 2018 年 11 月举行的投资者日的一些插图,下一次将于 2021 年 9 月举行。

上图清楚地说明了 ASML 在其 EUV 生命周期中获取的工艺知识。这也转化为新一代更好的 EUV 设备,其生产力的提高也证明了这一点。再一次,考虑到竞争对手采用 EUV 技术需要多少时间和资金,我无法想象对一家公司来说更有利的竞争形势。

半导体制造是一项残酷的业务,研发支出巨大(ASML 花了 60 亿欧元的研发支出来发明 EUV)根据摩尔定律推动芯片改进,这意味着 ASML 已经在致力于下一代技术,称为 High NA -EUV。高 NA-EUV 还需要一段时间,下面的时间表略显过时,但其技术将显着改善 EUV 平台并在未来十年内为行业提供动力。开发技术、提高产量和减少停机时间需要时间,但在市场扩张和利润率提高方面,EUV 仍有很多机会。

ASML 本身通过面向买方的附加组件和面向供应商的上游成本降低,在优化利润率方面制定了预期路径,为公司创造了一个甜蜜点,有效地努力实现与其更成熟的 DUV 相同的盈利能力平台。

如果这还不够好,那么再加上一个事实,即至少在 2028 年之前,半导体行业的总体增速预计将超过总体 GDP,复合年增长率为 8.6%。台积电、英特尔和三星电子公司(场外交易代码:SSNLF)最近的通讯显示了该行业的实力和增长潜力,他们未来十年的总资本支出预期将超过 2000 亿美元,其中很大一部分将在未来几年内实现。

从上图中可以看出,ASML 预计通过升级来增加客户价值,他们的 DUV 路线图作为一个例子,说明未来几年 EUV 的收入基础如何扩大,就像 DUV 的情况一样公司已标明安装基数管理。

当然,为了尝试和挑战 ASML,一个重要的竞争者总是有可能进入市场,但是当技术处于起步阶段已经放弃时,公司已经试图进入这个领域,这意味着主要威胁将是一种新的光刻技术出现并对 EUV 进行了 EUV 对 DUV 所做的工作。可能肯定,可能,没有那么多。为了强调这一点,我插入了一段来自 ASML 自己关于光刻如何发挥作用的描述。

“光刻是创造更强大、更快和更便宜的芯片的驱动力。随着半导体特征尺寸的缩小,芯片的制造变得越来越复杂,而以合适的成本进行大规模生产的必要性仍然存在。我们的整体光刻产品组合通过将光刻系统与计算建模以及计量和检测解决方案相集成,有助于优化生产并实现可承受的收缩。光刻系统本质上是一个投影系统。光通过将要打印的图案的蓝图(称为“掩模”或“标线”)投射。

在光中编码图案后,系统的光学元件会收缩并将图案聚焦到光敏硅晶片上。打印图案后,系统会稍微移动晶圆并在晶圆上制作另一个副本。重复这个过程,直到晶片被图案覆盖,完成一层晶片的芯片。为了制造一个完整的微芯片,这个过程要一层又一层地重复,堆叠图案以创建集成电路(IC)。

最简单的芯片大约有 10 层,而最复杂的芯片可以有超过 150 层。要印刷的特征的大小因层而异,这意味着不同类型的光刻系统用于不同的层——我们最新一代的 EUV 系统用于最关键的层,最小的特征为 ArF、KrF 和 i -line DUV 系统用于具有较大特征的不太关键的层。堆叠图案以创建集成电路 (IC)。最简单的芯片大约有 10 层,而最复杂的芯片可以有超过 150 层。

要印刷的特征的大小因层而异,这意味着不同类型的光刻系统用于不同的层——我们最新一代的 EUV 系统用于最关键的层,最小的特征为 ArF、KrF 和 i -line DUV 系统用于具有较大特征的不太关键的层。堆叠图案以创建集成电路 (IC)。最简单的芯片大约有 10 层,而最复杂的芯片可以有超过 150 层。

要印刷的特征的大小因层而异,这意味着不同类型的光刻系统用于不同的层——我们最新一代的 EUV 系统用于最关键的层,最小的特征为 ArF、KrF 和 i -line DUV 系统用于具有较大特征的不太关键的层。”

我相信大多数投资者都熟悉确认偏见,如果他们不熟悉,他们应该拿起一本书进行自我教育。通读本节后,鉴于我对 ASML 的地位和竞争力的强烈主张,很容易听起来好像我作为作者正在遭受确认偏见。但是,我一直在努力确定可能严重影响 ASML 的情况,老实说我找不到它。

当然,存在与地缘政治紧张相关的风险,这也体现在 2016 年的股价中,供应链中断的风险目前在整个行业中蔓延,人才竞争。公司本身在其 2020 年年度报告中提到了这些。21 没有一个行业没有潜在的风险。

所以,总结一下:

ASML 开创了 EUV 光刻技术,看不到竞争对手;

EUV 将使摩尔定律得以延续,并将推动 ASML 及其客户的长期价值进入这十年;

半导体行业预计到 2028 年将以 8.6% 的复合年增长率增长,超过总体 GDP,而 ASML 是制造商(代工厂)的主要供应商;

强劲的行业资本支出推动对 ASML 产品的需求;

在安装基础管理、利润率提高和制造商对 EUV 机器的领先芯片依赖方面扩展 EUV 业务的前进道路;

ASML 是领先芯片制造的关键参与者;

听起来不错。

财务表现与发展

如下图所示,ASML 自身表现良好。

强劲的收入增长;

强劲的利润率扩张;

自由现金流大幅改善;

令人印象深刻的运营改进通过增加研发支出和知识产权组合加强护城河。

紧随其后的是 2021 年第一季度的强劲表现,财务状况令人垂涎。然而,对于 2021 年第二季度的业绩,他们指导收入增长略低,为 41 亿欧元,毛利率为 49%,仍高于长期平均水平,但更接近长期平均水平。然而,不可否认的是,该公司在当前环境中蓬勃发展。

一个有趣的细节是安装基础管理中的开发,如本文前面所述。该公司正在兑现其承诺,该细分市场的强劲发展从 2019 年到 2020 年同比增长 29%,远高于 18% 的总增长率。

然而,更有趣的问题是市场估计是否低估了 ASML 的潜力。一个非常困难的问题,但如果我们看一看,我个人至少看到了这种情况的可能性。

分析师一致估计是低估还是高估了 ASML 的潜力?

ASML 被分析师提供了到 2028 年的所有预测,但一旦我们超过 2025 年,即超过一位分析师覆盖的最后一年,覆盖范围就会减少。目前的估计显示,从 2020 年到 2028 年,收入复合年增长率为 11.1%,但如果我们去掉表现出强劲增长的 2021 年,复合年增长率为 6.5%。

请记住,整个行业预计到 2028 年将以 8.6% 的复合年增长率增长。这些都是具有很大不确定性的估计,没有人能够可靠地预测未来。然而,值得注意的是,如果不考虑从 2020 年到 2021 年的大幅增长,ASML 的收入估计将低于整个行业。目前估计 2026 年至 2028 年的平均收入增长为 3.5%。

考虑一些支持为什么 ASML 的前景可能更加积极的论点:

一般半导体行业 2020-2028 年复合年增长率预测为 8.6%。

DUV CAGR 2020-2025预测为 8.4%,它仍然是 ASML 最大的产品类别。

EUV 2020-2027 年复合年增长率预测为 12%。

ASML 是通过技术进步解决芯片短缺问题的关键参与者,其机器定义了我们日常生活中使用的每个电子设备的性能。

ASML 显示其通过“安装基础管理”扩大其机械生态系统的计划取得了进展,将总潜在市场增加了两位数以上的百分比,因为 2018 年的销售额为安装基础管理的 20%,而 2025 年的估计为 50%。

ASML 在 DUV 沉浸式细分市场占据主导地位,DUV 中利润率高的部分作为 DUV 的两个唯一竞争对手,尼康和佳能缺乏手段和能力。

随着市场向 EUV 过渡,对 DUV 的需求将随之而来,因为芯片堆叠工艺通过其制造从这两个系统中受益。

这还没有提到潜在的价格上涨可能会逐渐流向其客户,因为他们可能会争夺 ASML 的产能,因为其在 DUV 中拥有 85% 的强大市场地位和在 EUV 中的垄断地位,同时还将高 NA-EUV 推向市场。这十年。如今,客户为 EUV 机器支付大约 130-1.5 亿美元,而 DUV 机器的价格约为 1 亿美元。

ASML 超额交付的最大障碍是其目前在交付 EUV 系统的能力方面的容量限制,每年的上限在 40 到 50 个系统之间,随着多年来需求的增加,该公司当然会努力扩大这种能力限制。另一方面,随着 ASML 努力扩大产能,这也可能是价格上涨的驱动因素。

我不会试图构建更大胆的收入指导,因为这是一个廉价的尝试,坦率地说,没有人有能力准确预测当前的预期是否会成立,或者它们是否过于积极或消极。我只想强调,考虑到所有事情的进展以及 ASML 的市场地位,我认为该公司将做得比目前预期的更好是不合理的。

估值

股价距离其 52 周高点仅一英寸,自 2020 年初以来一直在上涨,自 2020 年 10 月以来真正起飞,自那以来已经翻了一番。

尽管每股收益和收入出现强劲增长,但市盈率显着扩大,但随着所有其他参数的出现,市值已经爆炸式增长。股票市场早已认识到 ASML 的故事和潜力,华尔街分析师目前的目标是每股 722 美元。公平地说,如果分析师的预测是正确的,就没有安全边际。有趣的是,在提供价格目标的 30 位分析师中,非常看涨的百分比自 2016 年以来一直没有更高,其中 56% 表示非常看涨。在相信此类声明时保持谨慎是一种心理锻炼,尤其是因为股票在过去几年中只知道一个方向——向上。

如下图所示,在五年范围内考虑时,典型比率扩张的重要性是显而易见的。P/E 和 P/S 均大幅扩张,分别为 55 和 15.7。但是,与三年前相比,该公司处于非常不同的位置。

收入增长明显快于以前,毛利率和自由现金流也有所改善。由于这一积极的发展,ASML 还将通过 2021 年 100 亿欧元的股票回购计划向其股东返还大量资本,不幸的是,这仅相当于减少了当前流通量的 0.5%。

对未来几年的估计也清楚地表明了对 ASML 前进的坚定信念,由于该公司强大的投资组合和市场主导地位,近年来该预测一直在稳步攀升。

尽管如此,我相信现有股东在持有现有股份方面做得很好,因为这家公司前景广阔。我有我的眼睛ASML在过去的一年,我非常难过地说,我从来没有得到周围寻找到它正常,但只能看着它在远道而来,并得出结论认为该股可能是由于一个很好的回调在一个点上。我知道的很少。

正如彼得林奇所说的那样,“准备修正或试图预测修正的投资者损失的钱比自己在修正中损失的要多得多”,对于像我这样没有及时采取行动的人来说也是如此. 我仍然对 ASML 的前景和潜在旅程非常着迷,但以目前的价格,我仍然对前景和缺乏安全边际犹豫不决。

ASML 的估值有很大的增长潜力,如果要增加当前水平,我会说美元成本平均是当前价格的谨慎策略,同时保留了备份卡车的可能性如果我们在 2021 年底之前看到回调,则满载。

如下所示,ASML 每年经历一次或两次 10% 的挫折并不少见。

结论

ASML 在其两个主要产品 DUV 和 EUV 光刻中占据主导地位。由于我们所有的小工具、电动汽车、5G、数据中心、云服务器等都严重依赖 ASML 提供的技术平台,因此它的市场得到了令人难以置信的强劲顺风的支持。一个真正的创新者,看不到真正的竞争,为一个行业提供机械和工具,预计到 2028 年将以 8.6% 的复合年增长率增长,其 DUV 和 EUV 平台的增长可能会更加强劲,同时也预计利润率将扩大。

关于 ASML 没什么好说的,但不幸的是,股票市场早已认识到其惊人的故事和潜力。有了如此强劲的前景,现有股东在持有股票方面做得很好,只是享受未来的旅程,但对于潜在股东而言,由于最近的市值显着扩大,似乎有一点安全边际该股票的交易价格距离其 52 周高点仅差一英寸。

正如彼得林奇所说,“准备修正或试图预测修正的投资者损失的资金远远超过修正本身的损失。” 当我从远处观察 ASML 很长一段时间时,我陷入了这个谬论。尽管最近市值和倍数都在扩张,但目前的估计可能低估了 ASML 的真正潜力,但任何对未来 5-10 年的预测都伴随着极端的不确定性和猜测。正如我所展示的,ASML 的股价每年容易出现一两次挫折,这使得平均美元成本可以作为一种方法来获得对该公司的敞口,并在此过程中慢慢建立头寸。

来源:半导体行业观察

]]>
2020年全球光刻机总销售量达413台 ASML份额已超90% //www.otias-ub.com/archives/1203809.html Tue, 09 Feb 2021 13:53:43 +0000 //www.otias-ub.com/?p=1203809

去年的一波半导体热潮,让光刻机成了香饽饽。不过,依照ASML(阿斯麦)、尼康、佳能三家公司的官方数据整理得知,去年全球光刻机总销售量为413台。其中ASML销售258台占比62%,佳能销售122台占比30%,尼康销售33台占比8%

不过按照销售额来计算的话,因为最昂贵的EUV只有ASML制造销售,所以总的份额占比依次是91%、3%、6%。

从光刻机类型来看,尼康出货的主要是ArF immersion、ArF dry,佳能主要是KrF、i-line(份额69%)。当然,ASML在各种类型的光刻机上都有涉猎,除了i-line,几乎每种类型都是绝对龙头。

有分析机构表示,参考ASML单价,估计全球光刻机市场规模在2020年达到了130多亿美元,同比增长20%以上。

自 快科技

]]>
光刻机厂商ASML:2Q20净销售额33亿欧元 销售增长预期保持不变 //www.otias-ub.com/archives/1084704.html Fri, 17 Jul 2020 04:01:10 +0000 //www.otias-ub.com/?p=1084704

2020年7月15日,半导体光刻设备制造厂商阿斯麦(ASML)发布第二季财报。财报显示,阿斯麦第二季度净销售额金额为33亿欧元,净利润金额为8亿欧元,毛利率达到48.2%。

光刻机是芯片制造公司的核心生产设备,ASML在尖端芯片制程中占核心地位。相比第一季,ASML第二季度业绩显著提升,销售额相较第一季度强增长35%,达到33亿元,毛利率达到48.2%。

虽然ASML营收、净利润等业绩同比环比均有大幅增加,但二季度新增订单有减少,新增的订单价值为11亿欧元,远不及上一季度的31亿欧元,也不到去年同期29亿欧元的一半。

ASML业绩的增长主要受益于EUV累积装机管理毛利率的提升和销售的DUV系统产品组合的优化。EUV即极紫外光刻技术,主要用于高端芯片制造,ASML是唯一采用EUV的光刻机设备制造商,DUV为深紫外光刻技术,是当前芯片行业芯片量产的主力。

光刻机订单交付方面,ASML第二季度交付了9台EUV光刻机系统,并确认了7台系统的销售收入。新增的11亿欧元订单金额中,有4.61亿欧元来自3台EUV设备。

受疫情影响,欧美各地日前一度封城。ASML总裁兼首席执行官Peter Wennink称,目前ASML业务运营已经基本恢复正常,但有鉴于新冠疫情还未完全消除,公司还是始终保持关注和警惕。

ASML预计第三季营收将持续保持增长,也对2020全年业绩目标维持不变,未受新冠肺炎疫情影响。预计ASML第三季的营收将在36亿~38亿欧元,毛利率约在47%~48%。

同时,ASML表示将收购Berliner Glas公司的所有股份。Berliner Glas是一家陶瓷和光学模组的制造商,产品用于EUV和DUV系统,该收购预计将于2020年底之前完成。

ASML客户包括台积电、三星、中芯国际等芯片代工厂商,由于主要半导体代工公司向先进制程迈进,加大资本支出,ASML也因此从中受益。

台积电在2019年末宣布,2020年全年资本支出为110-120亿美元,创下历年最高纪录,随后于今年再度上调年度资本支出至150-160亿美元,加大先进制程研发投入。三星则在2020年的1月15日向ASML以33.8亿美元购买20台EUV光刻机,这些设备将用于晶圆代工与下一代DRAM存储芯片生产。

但中芯国际向ASML公司订购的EUV光刻机已数度延迟交货。2019年11月,ASML表示,出口光刻机需要得到荷兰政府的出口许可,正等待批准。

对于ASML EUV光刻机延迟交货,中芯国际董事长周子学此前向投资者表示,中芯国际目前量产和主要在研项目暂不需用到EUV光刻机,光刻机采购如常。

via 富途牛牛

]]>